首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

初试quartus就很不爽,求大侠帮忙解决。

初试quartus就很不爽,求大侠帮忙解决。


任何verilog(.v)文件,编译都是。求帮忙,谢谢。
Error: Top-level design entity quartus is undefined
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 warnings
 Error: Processing ended: Mon Dec 19 00:00:29 2005
 Error: Elapsed time: 00:00:00
Error: Quartus II Full Compilation was unsuccessful. 1 error, 0 warnings
 
你的工程名字和你的module名字不一致。
在交流中前进,共同实现nios的应用。
返回列表