首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

给斑竹一点建议

给斑竹一点建议

本人是大四的 由于做毕业课题的缘故通过朋友介绍到了您的论坛
发现有很多有用的资料 都很不错
不过发现个问题 就是如果有人提出问题的时候好象帮助的不是很多啊
我在其他论坛也有过这样的情形
 第一次发这个帖子 希望斑竹能注意下 多帮助人也是提高论坛知名度的一种方法


小弟做的是 基于FPGA的CDMA的扩频同步电路设计 大部分已经完成 不过还是需要一些相关的资料和程序


如:伪随机序列发生器 就一直有问题


我做的是127位伪随机码 用到4路发生器 如果有资料的话请跟个贴或者给我EMAIL


theron_1@163.com  再次谢谢


您的论坛真的很不错 !

呵呵 !这个问题我们现在也在解决
现在的版主队伍还是比较壮大的,我觉得你提出的问题,如果是他们能够解决的,一定会给你帮助
谢谢你的建议,谢谢你的支持
谢谢 !
 
谢谢 谢谢你的回帖
谢谢各位对中电网FPGA板块的支持.
可能由于自己水平有限,再工作也比较忙,没及时回答网友提出的问题,表示抱歉.
以前没做过伪随机序列发生器,手头没有现成的资料.不过你可以把你的问题提出来,大家一起讨论下.

大浪淘沙
留下的全是金子
伪随机序列在开发软件的例子中一般都有的,而且它的实现效率很高,你可以参考
看你实际需要的是不是2的正次幂,如果是可以采取在开头或者结尾补零或者补一,我们的项目就用了这样的方案,但是出于保密问题,只能给你个思路
美梦成真-->噩梦降临!
我做好后会免费发上来 不过现在还是的自己弄 可以给我点相关的资料么

theron_1@163.com

[此贴子已经被作者于2006-3-20 16:53:25编辑过]

用语扩频同步系统的伪随机码常用的有两种 M序列优选对和 GOLD码序列

两者的区别在于数量上的 GOLD可以有较多的码

我做的是

选用127位伪随机码 用到4路信号 所以用M序列

要产生4路不同的127位的M序列 需要选择4个7的特征阶多项式
如我采用[3,7][1,2,3,7][2,3,4,7][2,4,6,7]
那么应该怎么弄?

请指教!~

PS: 当然也可以用DFF和一个相加器就可以来直接解决 但是本人还是想从语言上来解决问题 希望得到帮助。
GOLD码序列在xilinx的ise中有例子,可以直接打开参考一下,如果没有,我可以给你提取一个
美梦成真-->噩梦降临!
不好意思,我问问你是不是想做一个抽头系数可选的生成电路?
如果是,你就可以把1到7都写到你程序里,然后用一个抽头系数取控制他们,有对应着抽头系数为1,没有对应抽头系数为0,剩下的事情就是控制你的抽头系数了
美梦成真-->噩梦降临!
GOLD码序列在xilinx的ise中有例子,可以直接打开参考一下,如果没有,我可以给你提取一个
---------- 谢谢 这个我没接触过 不懂 呵呵

如果有空的话 加我QQ把 616304264
好的,我的qq:362705157
美梦成真-->噩梦降临!
实验已经基本成功 等我调制好以后再发上来共享下!~
返回列表