首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

初学求教

初学求教

请问cycloneEP1c12F256C6中各部分代表什么意思?


256说管脚数吧 ?那其他的字母数字各代表什么呢?


谢谢 :)

因无知而求知
在DATASHEET中有专门章节来介绍这些后缀的意义

是的

如何将输入数据与方波相乘?以下VHDL为何实现不了?

我发不了新帖,有个问题我弄一个星期了,还整不出来,请帮帮忙,谢谢啦!(LYYNEWS@163.com,烦请阅者发到论坛上,谢谢!)

主题:如何将输入数据与方波相乘?以下VHDL为何实现不了?(quartusII50 综合通过)

--以下代码为了 实现输入数据与+-1 相乘,亦即输入数据与方波相乘。
--
--当N=8 时,在QUARTUS 下仿真,OutData 输出正确结果,N=24 时结果异常
--但两次仿真均报告?
--Warning: Ignored node in vector source file.
--Can't find corresponding node name "ToutDataP[0]" in design.;
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Entity TranInput IS
Generic ( N : integer:=24 );
port ( Reset,Clk : in Std_Logic;
DivFr : in std_logic;
InData : in std_logic_Vector(N-1 downto 0 );
TransFlg : out std_logic;
OutData : out std_logic_Vector(N-1 downto 0 ));
end TranInput;
Architecture a of TranInput IS
signal TOutDataN,ToutDataP : std_logic_Vector(N-1 downto 0);
begin
process(Clk,Reset)
begin
if Reset='1' then
TransFlg<='0';
elsif Clk'event and Clk='1' then
TOutDataN<=0-InData; --输入数据乘-1
TOutDataP<=0+InData;--输入数据乘 1
if DivFr='1' then
OutData<=TOutDataN;
else
OutData<=TOutDataP;
end if;
TransFlg<='1';
end if;
end process;
end a;
不要用0-或者0+,用方波控制输入数据的符号位试试看
美梦成真-->噩梦降临!
谢谢stone133,用0-或0+时有些数据的确会产错误,改用求补法实现了乘-1功能。
欢迎常来讨论!
要发新贴现登陆,进入论坛,然后点左上角的“发表新贴”就可以了
美梦成真-->噩梦降临!
返回列表