首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

PN码捕获问题,大家讨论

PN码捕获问题,大家讨论

1、设计的接收系统应满足的技术指标:fficeffice" />


主时钟:        40MHz


伪码速率:       5MHz


PN码:           m序列


扩频因子:       31、63、127


发射的信息速率:161.3KHz、79.3KHz、39.4KHz


能正确的完成同步捕获和跟踪。


 现求助PN码捕获程序



 


--伪随机码(PN码)
library ieee;
use ieee.std_logic_1164.all;
entity m6 is
port(clk:in std_logic;
     load:in std_logic;
     d:in std_logic;
     qut std_logic);
end m6;
architecture behav of m6 is
signal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;
begin
process(clk,load)
begin
if clk'event and clk='1'then
if(load='1'and d='1')then
c7<='0';
c6<='0';
c5<='0';
c4<='0';
c3<='0';
c2<='0';
c1<='0';
c0<='1';
q<=c7;
else
c1<=c0;
c2<=c1;
c3<=c2;
c4<=c3;
c5<=c4;
c6<=c5;
c7<=c6;
c0<=c7 xor c4 xor c3 xor c2;
q<=c7;
end if;
end if;
end process;
end behav;
--M序列级数是7 所以M=127程序中的load为1

共享FPGA
捕获:
1。把你生成的存入片内rom;
2。pn码与收到同步数据作运算,利用m序列的自相关性得到一个相关值,并判断;
3。如果相关值低于门限,把rom中pn码的相位移动,重复2
4。直到相关值高于门限为止;
5。如果需要,加入一些适当的保护以提高可靠性;
跟踪:
建议用片外的锁相环来完成,片内完成非常复杂;
美梦成真-->噩梦降临!
谢谢你的指导,有程序给参考一下吗,,请发到wzyuyu1981@163.com
共享FPGA
返回列表