首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

还是双向口问题?请叫大侠 ,郁闷啊?

还是双向口问题?请叫大侠 ,郁闷啊?

请问: 双向口:一个控制信号 EN ,如果 EN = '1',则 A <=B;


                              如果 EN = '0',则  B<=A;


该怎么设计,怎么仿真不了,郁闷啊~~>?


 


 

A,B楼主是如何定义的?
美梦成真-->噩梦降临!
A, B : INOUT STD_LOGIC;

这样定义的,可是用图形工具设计也不行,
怎么上传不了图片啊~~?郁闷~~?
设计图如下:
http://www.icembed.com/bbs/dispbbs.asp?boardID=74&ID=1053&page=1
把整个程序贴上来
[glow=255,red,2] FPGA NiosII开发板 USB-Blaster[/glow]-可配USB2.0、10M/100M网络、视频等扩展模块-http://www.21control.com
--Write by Zhu Pu.
--2006/5/6

library IEEE;
use IEEE.STD_LOGIC_1164.all;


entity IOSwitch is
port(
A : inout STD_LOGIC;
D : in STD_LOGIC;
Q : out STD_LOGIC;
EN : in STD_LOGIC
);
end IOSwitch;

--}} End of automatically maintained section

architecture IOSwitch of IOSwitch is

signal EN1, EN2 : STD_LOGIC;
signal S1 : STD_LOGIC;

COMPONENT TRIO

PORT
(
A : in STD_LOGIC;
B : out STD_LOGIC;
EN : in STD_LOGIC
);
END COMPONENT;


begin
-- enter your statements here --

EN1 <= NOT EN;
EN2 <= EN;
A <= S1;

TRIO_1 : TRIO
PORT MAP
(
A => D,
B => S1,
EN => EN1
);
TRIO_2 : TRIO
PORT MAP
(
A => A,
B => Q,
EN => EN2
);

end IOSwitch;
你的那个.gdf文件是可以仿真的,但是你要注意a,b作为输入的时候和en的关系;
这个论坛上就有关于双向口设计的文章,楼主搜索一下;
美梦成真-->噩梦降临!
返回列表