首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]求教关于quartus中ram的编译出错问题

[求助]求教关于quartus中ram的编译出错问题

Error: M4K memory block WYSIWYG primitive "ram_2:inst|altsyncram:altsyncram_component|altsyncram_6bd1:auto_generated|altsyncram_c8f2:altsyncram1|ram_block3a1" utilizes the dual-port dual-clock mode. However, this mode is not supported in Cyclone II device family in this version of Quartus II software. Please refer to the Cyclone II FPGA Family Errata Sheet for more information on this feature.
用过quartus的前辈能不能帮忙看看这是什么错啊?
意思是cycloneII的器件,在你的q2的版本中不支持使用双端口ram(双时钟),叫你看看datasheet怎么使用这个。
在交流中前进,共同实现nios的应用。
返回列表