首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

verilog编程出现问题,新人求解

verilog编程出现问题,新人求解

主程序
module fdivision(f1,rst,f2);
  input f1,rst;
  output f2;
  reg f2;
  reg [7:0]j;
  always @(posedge f1)
  if(!rst)
  begin
  f2<=0;
  j<=0;
end
else
begin
if(j==19)
  begin
   f2<=!f2;
    j<=0;
  end
else j<=j+1;
end
  endmodule

测试程序
`timescale 1ns/100ps
`include "./fdivision.v"
`define clk 50
module fdivision_tb;
  reg f1,rst;
  always #`clk f1=~f1;
  initial
  begin
    rst=1;
     f1=0;
    #100 rst=0;
    #100 rst=1;
    #10000 $stop;
  end
  fdivision m(
  .f1(f1),
  .rst(rst),
  .f2(f2)
  );
endmodule

波形里f2一直是0,这是为什么呢??
返回列表