首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]quartus II 6.0中如何进行testbench

[求助]quartus II 6.0中如何进行testbench

新手问一下,在quartus II 6.0中如何进行testbench,我只知道用vector waveform file文件进行timing simulation,但我看很多介绍verilog的书中都是testbench进行前仿真,我能用verilog pro进行testbench,不知在在quartus II 6.0中如何进行testbench,望各位大侠指点一下!谢谢!
Q2不支持testbench的,要第三方的软件,像modelsim等。
在交流中前进,共同实现nios的应用。

问一个比较弱的问题,什么叫第三方软件?

比如modelsim是嵌入在quartus里面了还是得专门用modelsim软件?

返回列表