首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

quartus 仿真出错

quartus 仿真出错

用quartus ii时序仿真时出现的问题:

Error: Can't continue timing simulation because delay annotation information for design is missing

盼望高手解答

提示你的延时信息丢失。

你的波形文件保存没有,如果保存了,你可以编译一下工程,新建一个波形文件。

如果还不行可以新建项目试试。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
只需要将工程全编译一遍就可以了(包括布局布线)
返回列表