首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

一个关于verilog的程序,请教下!!

一个关于verilog的程序,请教下!!

module counter4(tb,clk,dataout,cin);
input wire tb,clk;
output reg [3:0] dataout;
output reg cin;
always @(posedge clk)
begin
if(tb==1)
begin
  dataout<=0;
  cin<=0;
end
else
begin
  dataout<=dataout+1;
  if(dataout==15)
   cin<=1;
  else
   cin<=0;
end
end
endmodule

这个程序怎么才能实现,异步清零功能!
返回列表