首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VERILOG 程序问题

VERILOG 程序问题

module jiakuankongzhi (reset,add_1,sub_1,cnt2);
input reset;
input sub_1;
input add_1;
output cnt2;
reg[4:0] cnt2;
always@(negedge reset or negedge add_1 or negedge sub_1)
begin
if(!reset)
cnt2<=5'b00000;
else if (!add_1)
begin
if (cnt2==5'b10100)
cnt2<=5'b00000;
else cnt2<=cnt2+1;
end
else if (!sub_1)
begin
if (cnt2==5'b00000)
cnt2<=5'b10100;
else cnt2<=cnt2-1;
end
end
endmodule

程序在QUARTUE仿真时报错!

哪位大侠帮忙解答下

最好把错误提示发上来

美梦成真-->噩梦降临!
返回列表