首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

quartus与modelsim仿真结果不一样怎么办?

quartus与modelsim仿真结果不一样怎么办?

quartus与modelsim仿真结果不一样怎么办?modelsim里是想要的仿真结果,但到Quartus里就变了,由于手上现在没有硬件,不能去试,谢谢指教

仿真结果不一样很正常,这个和楼主的编码风格有很大的关系,而且和时间延时,比如有没有延时仿真啊。等等,而且有时综合前和综合后仿真都会有不同,所以这个问题不是那么简单的。
这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

我后来改了一下代码,仿真结果总算是一致了,谢谢指教

还有一些问题想请教,主要是FPGA应用在哪些方面比较好?数字信号处理我觉得用DSP比较方便,控制又觉得ARM单片机之类的比较好,小弟在校学生,没接触过具体工程,所以比较迷茫,望指教

返回列表