首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: 上电

  版块 作者 回复/查看 最后发表
求助:STM8L单片机上电烧掉 MCU 单片机技术 feitiandadao 2012-2-22 0 / 854 feitiandadao 2012-2-22 20:44
单片机晶振问题及解决方案汇总三 MCU 单片机技术 terryMCU 2012-2-22 0 / 1093 terryMCU 2012-2-22 19:07
开关电源如下现象,是什么问题? 电源与功率管理 feitiandadao 2012-2-15 1 / 639 netic 2012-2-18 17:33
二氧化碳激光打码机的函数及其错误的处理 工业控制 laisai2011 2012-2-13 0 / 906 laisai2011 2012-2-13 10:37
2w7 分析与检测 DSP技术 crb63mw43 2012-2-11 0 / 682 crb63mw43 2012-2-11 11:55
求助:遇到奇怪的问题,第一次上电,LCD白屏 综合技术交流 feitiandadao 2012-2-8 0 / 531 feitiandadao 2012-2-8 14:21
如何掉电时保存RAM数据到类EEPROM,上电后根据情况重新写入RAM MCU 单片机技术 feitiandadao 2012-2-7 0 / 564 feitiandadao 2012-2-7 20:50
FPGA配置前管脚是什么状态 kkforyou 2012-1-18 0 / 1131 kkforyou 2012-1-18 10:11
stm32f103 上电瞬间 GPIO状态 通信技术 feitiandadao 2012-1-10 0 / 656 feitiandadao 2012-1-10 17:50
PIC单片机复位系统模块 MCU 单片机技术 rise_ming 2011-12-30 0 / 617 rise_ming 2011-12-30 17:19
CY7C68013A EEPROM烧写 FPGA/CPLD可编程逻辑 rise_ming 2011-12-26 0 / 831 rise_ming 2011-12-26 23:49
复位电路 MCU 单片机技术 feitiandadao 2011-12-19 0 / 1095 feitiandadao 2011-12-19 10:56
跪求8563T解决方法 数字电路 snowinmoon 2011-12-15 0 / 1001 snowinmoon 2011-12-15 21:40
SH79F085时钟配置? MCU 单片机技术 feitiandadao 2011-12-14 0 / 728 feitiandadao 2011-12-14 23:47
收集的关于430的一些应用过程的总结 电子制造 feitiandadao 2011-12-13 0 / 643 feitiandadao 2011-12-13 19:55
Xilinx FPGA的快速启动 测试测量 feitiandadao 2011-12-12 0 / 527 feitiandadao 2011-12-12 17:37
i6 维修措施94 MCU 单片机技术 upt02k17 2011-12-6 0 / 784 upt02k17 2011-12-6 14:36
XEP的EEE到底该如何弄,纠结 xbqcxy 2011-12-1 0 / 1115 xbqcxy 2011-12-1 21:28
输出端对地电压为0V MCU 单片机技术 yci00l74 2011-11-28 0 / 813 yci00l74 2011-11-28 15:33
关于单片机初上电引脚电平问题? tongtai 2011-11-7 0 / 1142 tongtai 2011-11-7 14:01
11 长虹空调开机无反应 维修经验jN MCU 单片机技术 ad569bv45 2011-10-27 1 / 999 xuxinxuexi11 2011-10-28 10:27
各位大侠一定要帮帮我MC9S12G128低功耗问题很着急!!! xyz0805 2011-8-12 0 / 1762 xyz0805 2011-8-12 10:48
关于mc9s12dg128单片机复位时IO口的设置 165198646 2011-7-13 1 / 1742 strongchen 2011-7-29 16:58
MC56F8366 如何使用dataflash haginu 2011-7-19 0 / 1533 haginu 2011-7-19 23:39
MC9S12XHZ512在debug模式下,断电再上电,command中一直显示illegal bp secess 2011-6-23 0 / 1635 secess 2011-6-23 15:29
XEP100的AD触发当不选择外部ETRG时,通道ANx怎么触发? wjckzdh 2011-5-23 0 / 1786 wjckzdh 2011-5-23 17:47
24C04问题 MCU 单片机技术 xt59878259 2011-4-22 2 / 1177 xt59878259 2011-4-27 18:37
CCLK信号无输出 FPGA/CPLD可编程逻辑 lil370 2011-3-12 0 / 1244 lil370 2011-3-12 20:53
奇怪的复位问题,帮忙啊 openycj 2011-2-28 1 / 1107 expand 2011-3-1 16:36
远望谷RFID读写器常见问题及分析 传感器技术 电子工匠 2011-1-29 0 / 748 电子工匠 2011-1-29 14:51
请版主或大牛:为什么我做的一块板子有时候上电不能启动?谢谢! denghaibo 2011-1-15 1 / 1376 denghaibo 2011-1-15 18:33
一个奇怪的事情 xujicai 2010-7-20 4 / 1205 xujicai 2010-7-23 17:38
MC9S08SG8奇怪问题!!!!! win2000_li 2009-4-20 9 / 1247 win2000_li 2009-4-23 09:03
晶振不稳定,为什么焊一下就好了? stelle 2009-2-17 2 / 1121 fjczd 2009-2-18 12:15
MC908GZ16 晶振问题 kevinchenyd 2008-9-7 1 / 854 strongchen 2008-9-8 10:28
MPC564的启动问题 houyizhen 2008-7-10 0 / 947 houyizhen 2008-7-10 20:02
关于c6000的启动问题 DSP技术 xxyue 2008-5-1 0 / 848 xxyue 2008-5-1 11:11
不知为什么ATD0中无法实现双路转换 hljgcxylp 2008-4-2 2 / 814 hljgcxylp 2008-4-4 12:00
A/D转换中的ATD0STAT1问题 ... 1 2 vincent85 2008-3-14 17 / 2511 strongchen 2008-3-25 10:59
一个关于AD转换的问题 yy_caicai 2008-1-30 2 / 1062 strongchen 2008-1-31 10:16