首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问CPLD如何读写SRAM?有没有VHDL示例?

不知道你用的什么开发环境,如果是ise,他的例子里面有,如果不是,我可以给你提取一个
美梦成真-->噩梦降临!
给你发在这里吧,还有verilog的,我看到别人也要
美梦成真-->噩梦降临!
http://bbs.chinaecnet.com/uploadImages/sdram.rar
美梦成真-->噩梦降临!
很高兴能对你有所帮助!
美梦成真-->噩梦降临!
那就先弄些资料看,代码以后再说
美梦成真-->噩梦降临!
原名叫作“SDRAM CONTROLLER”
美梦成真-->噩梦降临!
返回列表