首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

跪求正弦波信号发生器VHDL编译码,急救啊!!!

这种模拟电路是不能用VHDL实现的
如果用VHDL实现正弦波信号,还需要D/A器件
美梦成真-->噩梦降临!
哈哈,那些电容电感什么的我怎么给你弄?
不是说FPGA能实现所有东西;
正弦波信号发生器用VHDL可以实现,但是还要依靠数模转换器件,因为FPGA中都是数字信号;
你可以在存储一个周期的波形,根据读出的速度不同产生不同频率的正弦波,然后通过D/A模拟化
美梦成真-->噩梦降临!
是的,DDS+D/A是很好的办法,而且很灵活;
你的源程序可能太长了,压缩一下作为附件传上来
美梦成真-->噩梦降临!
返回列表