首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

在ise综合时如何使用block ram

我试了一下,好像不行;
你为什么不用ip(coregen)来生成呢,这个能直接生成block rom,只要你生成了,肯定使用的是block rom;
rom和ram好像只有在分布式存储器中才能选择,在block rom中是选择不了的;
那个综合设置无效是不是因为ram只能做成分布式的?你的综合报告里出现了ram的使用情况吗?能把报告传上来吗?
美梦成真-->噩梦降临!
返回列表