首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

有谁用FPGA实现过这个功能吗?(进来看看)

有谁用FPGA实现过这个功能吗?(进来看看)

有谁实现过随机采样中时间的排序问题(用以恢复波形以达到几G的等效采样率)?或者相关的研究?

[此贴子已经被作者于2006-12-12 10:54:22编辑过]

能说详细点吗?几G的采样率?太高了点吧?
美梦成真-->噩梦降临!
xilinx公司有相关的实现app,你可以到www.xilinx.com上面找一找
返回列表