首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

各位大侠,这是怎么回事啊?

各位大侠,这是怎么回事啊?

程序是书上的例子,怎么会显示如下错误啊,错误不知怎么改啊?大家帮帮我啊,先谢过了
大家好
entity box2 is
port(S:in std_logic_vector(3 downto 0);
Mut std_logic_vector(3 downto 0));
end box2;

architecture Behavioral of box2 is

begin
with S select
M<="1111" when "0001",
"1110" when "0010",
"1101" when "0011",
"1100" when "0100",
"1011" when "0101",
"1010" when "0110",
"1001" when "0111",
"1000" when "1000",
"0111" when "1001",
"0110" when "1010",
"0101" when "1011",
“0100" when "1100",
"0011" when "1101",
"0010" when "1110",
"0001" when "1111",
"0000" when others; 

end Behavioral;
大家好
显示的错误是下面
大家好
你好,你发的帖子有些问题,我删除了,请你编辑一下再发送上来,另外,是不是论坛有错误啊??怎么一下发了3个帖子??
 
=========================================================================
* HDL Compilation *
=========================================================================
Compiling vhdl file "D:/PLL/box2.vhd" in Library work.
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 39. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 39. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 40. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 40. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 42. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 42. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 43. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 43. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 44. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 44. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 45. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 45. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 46. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 46. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 47. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 47. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 48. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 48. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 49. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 49. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 50. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 50. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 51. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 51. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 52. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 52. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 53. Unexpected symbol read: ?
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 53. Unexpected symbol read: .
ERROR:HDLParsers:163 - "D:/PLL/box2.vhd" Line 54. Unexpected symbol read: ?
ERROR: XST failed
Process "Check Syntax" did not complete.
大家好
哦,实在抱歉,呵呵,我刚三次单独发的,实在抱歉,论坛应该每错误的
大家好
哦,实在抱歉,呵呵,我刚三次单独发的,实在抱歉,论坛应该每错误的
大家好
哦,实在抱歉,呵呵,我刚三次单独发的,实在抱歉,论坛应该每错误的
大家好
这次怎么又是三个呢??怪了!
 
错误显示里的39行是M<="1111" when "0001",
54行是指"0000" when others; 小女实在是不知从哪里下手改啊,望各位不吝赐教。谢谢拉

大家好
试过了,还是这样的问题啊,每办法啊。有谁知道的吗?
大家好
错误显示的是什么意思啊,各位大侠给侃侃啊,谢谢先。
大家好
你的问题是:
1。没有声明库
2。里面的一个符号(“和"是不一样的)全角和半角弄错了;
更改后的:
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

entity box2 is
port(S:in std_logic_vector(3 downto 0);
Mut std_logic_vector(3 downto 0));
end box2;

architecture Behavioral of box2 is

begin
with S select
M<="1111" when "0001",
"1110" when "0010",
"1101" when "0011",
"1100" when "0100",
"1011" when "0101",
"1010" when "0110",
"1001" when "0111",
"1000" when "1000",
"0111" when "1001",
"0110" when "1010",
"0101" when "1011",
"0100" when "1100",
"0011" when "1101",
"0010" when "1110",
"0001" when "1111",
"0000" when others;

end Behavioral;
美梦成真-->噩梦降临!
我是用maxplus编译仿真了一下,更改后结果是对的。
lz好象用的不是这个软件,是ise吗?他的提示有点不直观
美梦成真-->噩梦降临!
是的,我用的是ISE7。1,那我试试
大家好
返回列表