首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]verilog测试仿真问题

[求助]verilog测试仿真问题

我初学verilog, 时序测试模块后,编译时显示无输入输出端口的错误,请问是不是所有的模块都要输入输出端口?没有的话都显示错误。另外,这个测试模块怎样得出仿真结果?谢谢!
能说清楚些吗?我觉得你好象把设计和测试混起来说了?
美梦成真-->噩梦降临!
返回列表