首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]用quartus4.2编译nios,没有通过!请教怎么搞定它?

[求助]用quartus4.2编译nios,没有通过!请教怎么搞定它?

编译结果显示:
Error: VHDL Use Clause error at boot_room.vhd(27): design library "altera_vhdl_support"

does not contain primary unit "altera_vhdl_support_lib"
双击后,定位到boot_room.vhd文件中的:
use altera_vhdl_support.altera_vhdl_support_lib.all;

我是按照一个SOPC的设计实例一步一步来的,到这就过不去了,哪位兄弟知道,怎么解决?
111111111111111111111111
返回列表