首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教高手 安装quartusⅡ4.2的问题

请教高手 安装quartusⅡ4.2的问题

请教高手 安装quartusⅡ4.2的问题

安装quartusⅡ4.2需要安装什么组件

除了sp1 nios 还有什么

我安装了quartusⅡ4.2后编译最基本的非门电路时出现这样的错误

原程序如下 非门电路

library ieee;
use ieee.std_logic_1164.all;
entity not1 is
port(a:in std_logic;
but std_logic);
end entity not1;
architecture one of not1 is
begin
b<=not a;
end  architecture one;

错误提示:

Error: Compilation of simulation library c:/altera/quartus42/bin/../eda/sim_lib/max_atoms.vhd was NOT successful
Error: ModelSim: couldn't execute "vcom": no such file or directory
Info: Compiling max components
Error: Compilation of simulation library c:/altera/quartus42/bin/../eda/sim_lib/max_components.vhd was NOT successful
Error: ModelSim: couldn't execute "vcom": no such file or directory
Error: NativeLink simulation flow was NOT successful
Error: For messages from NativeLink scripts, check the file quartus_nativelink_simulation.log
Error: Quartus II Full Compilation was unsuccessful. 3 errors, 0 warnings

清高手指点一下!!!十分感激!!!

怎样
怎样使用两个宽带账号在同一台电脑上网,请教高手!!!!!!!
返回列表