首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]MODELSIM 仿真出错

[求助]MODELSIM 仿真出错

编写一个包结构, 里面有几个函数, 编译通过, MODELSIM 仿真出错, 提示:没有找到此PACKAGE, 为什么?


我用的是ISE4. 1,大侠赶快告诉我啊!谢谢啊!

我遇到过这种情况但是是个高手这么解决的这个问题:
创建了这个包之后, 需要将它添加到项目中. 在源代码窗口, 右键单击并选择“Add source”, 为vhdl包选择源文件, 然后选“Vhdl package”. 这个包就添加到ISE项目中了.
你试试,应该可以的!
返回列表