首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

modelsim 中测试程序编译不了?求助

modelsim 中测试程序编译不了?求助

我新手,编了一个简单测试程序就是不能通过,好象是定义时间单位那里错误,程序是下面的:
'timescale 1us/1us
module compare(equ,a,b);
    input a,b;
    output equ;
    assign equ=(a==b)?1:0;
endmodule
module tc; 
    reg a,b;
    wire equ;
    initial
    begin
        a=0;
        b=0;
        #100 a=0;b=1;
        #100 a=1;b=1;
        #100 a=1;b=0;
        #100 a=0;b=0;
        #100 $stop;
    end  
    compare m(.equ(equ),.a(a),.b(b));
endmodule
出现下面错误提示:
vlog -work work F:/Modeltech_6.0/myexe/compare_test.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
** Error: F:/Modeltech_6.0/myexe/compare_test.v(1): near "'t": Illegal base specifier in numeric constant.
** Error: F:/Modeltech_6.0/myexe/compare_test.v(1): near "'t":  expecting: LIBRARY CONFIG
** Error: F:/Modeltech_6.0/myexe/compare_test.v(1): Expecting numeric digits.


这是什么原因啊,求助/

'timescale 1us/1us是不是有问题?
美梦成真-->噩梦降临!
补充:
我看你前面的那个符号是'而不是`
应该是`,就是键盘左上角的那个
美梦成真-->噩梦降临!
返回列表