首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问CPLD如何读写SRAM?有没有VHDL示例?

请问CPLD如何读写SRAM?有没有VHDL示例?

请问CPLD如何读写SRAM?有没有VHDL示例?

[此贴子已经被作者于2006-3-16 12:53:40编辑过]

Altera公司好像免费提供SRAM Controller IP.自己只需要写控制这个Controller的代码.
不过要写好也不是很简单,我一个同事正在写这个,写好了把框图贴上来给大家参考下.源代码可能就不能提供了,公司不允许.

大浪淘沙
留下的全是金子
先谢谢您了
我也要做这个东西  呵呵  一起努力啊   
开心就好
不知道你用的什么开发环境,如果是ise,他的例子里面有,如果不是,我可以给你提取一个
美梦成真-->噩梦降临!
我不是用ise的,谢谢楼上的朋友了,我的邮箱是dubiko@tom.com
给你发在这里吧,还有verilog的,我看到别人也要
美梦成真-->噩梦降临!
http://bbs.chinaecnet.com/uploadImages/sdram.rar
美梦成真-->噩梦降临!
非常感谢您啊
很高兴能对你有所帮助!
美梦成真-->噩梦降临!
对不起,让你失望了,我看到你那一堆东西就头晕了。
那就先弄些资料看,代码以后再说
美梦成真-->噩梦降临!
代码是SDRAM的?
原名叫作“SDRAM CONTROLLER”
美梦成真-->噩梦降临!
返回列表