首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Virtex-4中如何由10M时钟倍频至124M

Virtex-4中如何由10M时钟倍频至124M

我想先由DCM将10M倍频至62M,再由62M倍频至124M,可是在由62M至124M时不出结果了,是不是DCM在级联时有注意事项?
攀登中....................
10M能到62M?
我的9.8M或19.6M死活到不了39.2M,输出=输入,用了24M多才对.
需要反请教lz了!
没用过Virtex-4,但是我记得DCM的输入有最低频率的限制,在我印象中确实有24MHz这么个数字,低于这个就不工作,LZ能确定这个吗?
这些在他的数据手册上有相关说明,我也找出来看看,大家一起讨论
美梦成真-->噩梦降临!
我手头只有VirtexII Pro的资料,没有Virtex-4的
VirtexII Pro的资料上说CLK_IN(就是DCM的输入)的最低输入频率是24MHz,希望LZ核实一下Virtex-4的
美梦成真-->噩梦降临!
可以啊,选择clkfx后,设M=31,D=5,fout=fin×M/D=10×31/5=62M

[此贴子已经被作者于2006-3-27 19:26:43编辑过]

攀登中....................
Frequency-Synthesis Output Clock - CLKFX
The CLKFX output clock provides a clock with the following frequency definition:
CLKFX frequency = (M/D) × effective CLKIN frequency
In this equation, M is the multiplier (numerator) with a value defined by the
CLKFX_MULTIPLY attribute. D is the divisor (denominator) with a value defined by the
CLKFX_DIVIDE attribute. Specifications for M and D, as well as input and output
frequency ranges for the frequency synthesizer, are provided in the Virtex-4 Data Sheet.
The rising edge of CLKFX output is phase aligned to the rising edges of CLK0, CLK2X, and
CLKDV. When M and D to have no common factor, the alignment occurs only once every
D cycles of CLK0.[em06]
攀登中....................
lz有Virtex-4 Data Sheet吗?有的话给传一个吧?
美梦成真-->噩梦降临!
http://bbs.chinaecnet.com/uploadImages/ds302.rar
攀登中....................
http://bbs.chinaecnet.com/uploadImages/ug070.rar
攀登中....................
看数据手册上说的选择clkfx后,输入频率范围是1~210MHz,应该可以,可是我不管怎么设置他都提示我需要24~135MHz,所以第一步都走不下去,是不是还需要设置什么?
美梦成真-->噩梦降临!
不能选择其他的输出时钟,比如clk2x;你只选择clkfx试试[em22]
攀登中....................
帮我想想10到124M啊,郁闷中.............[em18]
攀登中....................
BUFGCTRL – Internal Global Clock Buffer
Any BUFGCTRL can drive any DCM in the Virtex-4 device using dedicated global
routing. A BUFGCTRL can drive the DCM CLKIN pin when used to connect two
DCMs in series.
我也没有这样用过,不过你看这段话对你有帮助没?第一个DCM的输出是不是不满足第二个DCM输入的条件?
美梦成真-->噩梦降临!
我在VirtexII PRO上可以直接调用出两个DCM串联的IP CORE,它的结构是这样的:
1.第一个DCM的输出加入一个BUFG,然后送给第二个DCM的输入;
2.第一个DCM的LOCKED取反后连接到第二个DCM的RST上
你可以尝试一下
美梦成真-->噩梦降临!
和你讨论学到不少东西,我手头没有Virtex-4,只能是你去验证了,我的一些关于VirtexII PRO的用法供你参考:
两个DCM串联的时候,软件不推荐使用CLKFX和CLKFX180,并且这两个是不可选的,如果你单独作出两个DCM人工把它们串联起来,是不是也需要注意这些呢
美梦成真-->噩梦降临!
返回列表