首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问示波器怎么用

请问示波器怎么用

我现在正在做一个非常简单的程序,一个分频的程序,程序如下:


entity vdiv2 is
    port(clk,clr:in std_logic;
       q2ut std_logic);
end vdiv2;


architecture vdiv2_arch of vdiv2 is
  signal q:std_logic;
begin
    process(clk,clr)   
    begin     
    if(clr='1')then q<='0';
    elsif rising_edge(clk) then q<=not(q);--
    end if;
    end process;
  q2<=q;
end vdiv2_arch;
我想用示波器来演示一下。程序中的使能信号clr,在开始时需要给它一个'1'值,之后全为0,现在的问题是我不知道怎么赋值,请各位指点,谢谢

这个板子好像没有按键,如果是悬空的话就是‘1‘,其结果是在示波器上显示一个直杠子
也就是结果全是0 ,不合乎要求。
也试过了 将clr改成内部信号 结果跟上面那种情况一样
我试过了要是不用CLR的话就是一道红线,连仿真都不可以的
将CLR改成内部信号的话,用modelsim仿真可以,但是在示波器上还是一道杠子,没有值或说是值全为零。
竟然怎么输入怎么输出,还是不行!输出还是直杠
郁闷!按着datasheet 是连接着的
应该是可以的,应该没什么问题吧?!
现在到板子上,还需要测试文件吗?在PC上用modelsim仿真是没有问题的,只是下载到板子上,用示波器演示时,不能正常演示。
现在我能证明输入时钟是有效的,我做的另一个程序,关于灯的 可以正常运行
但不知道为什么示波器对这个分频程序就不能正常显示呢
我个人觉得这个清零信号没有什么意义:
1。你这个是一个分频程序,作为时钟来说,他是无始无终的,你这么强调它的初始值没什么用;
2。示波器显示当前值,你那个清零信号的有效期间对于我们真实的时间来说基本感觉不到,如果有,最多在示波器上晃一下就没了;
3。如果不是必须,建议去掉这个清零信号;
美梦成真-->噩梦降临!
同stone133,

可以先将clr在内部设置为0.
FPGA welcome
谢谢
但是我感觉问题不在这里,我已经将clr改成内部信号了
还是不行
可能是连线的问题
刚刚听说 发生器的地端和 示波器的地端需要分别接地
是的,这些仪表的使用,最好请教一下周围的前辈们,他们指导一下那可是最有效的学习方法
美梦成真-->噩梦降临!
终于通了
输入的信号直接输出可以显示波形了
但是我这个分频程序好像不行
大家看看
entity vdiv2 is
port(clk:in std_logic;-- ,clr
q2ut std_logic);
end vdiv2;

architecture vdiv2_arch of vdiv2 is
signal q:std_logic;
signal clr:std_logic:='1';
begin
process(clk)--,clr
begin
if (clr='1') then
clr<='0';
q<='0';
elsif rising_edge(clk) then q<=not(q);
end if;
end process;
q2<=q;
end vdiv2_arch;
请指出错误
返回列表