首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

用Maxplus 2调程序遇到的问题,请大侠帮忙SOS

用Maxplus 2调程序遇到的问题,请大侠帮忙SOS

Error:line1,File d:\decoder38.tdf:
TDF syntax error:Expected ASSERT,CONSTANT,DEFINE,IF,OPTIONS,PARAMENTERS,SUBDESUGN,or TITLE but found a symbolic name "LIBRARY"


小弟才开始学这个,是不是装的时候少装东西了,各位大侠多多指点啊,小弟不胜感激,有什么指导可以发给小弟油箱guyanhui00@163.com

我记得以前有个一模一样的问题,在ahdl语言中LIBRARY好像不是一个关键字,你这个纯粹是一个语法错误
美梦成真-->噩梦降临!
那个程序是我从书上直接抄的啊,是VHDL的 是不是和AHDL有区别呢
ahdl是altera公司开发的语言,用的人比较少,vhdl和verilog语言已经成为标准,用的人很多;
你说你从书上抄的,那你一定是抄了一个vhdl语言编写的程序,在Maxplus 2中,默认保存的格式为.tdf,这个后缀是ahdl源文件的格式,你保存的时候要保存为.v文件,这样就可以了
美梦成真-->噩梦降临!
返回列表