首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]vhdl波形问题

[求助]vhdl波形问题


各位高手,请大家帮忙分析一下,我的波形仿真怎莫没有输出?先谢谢了,我用的是quartusII5.1

1。我用maxplus2看了一下,你输出有个定义没有使用,编译的时候会报错,除此之外没有什么问题;
2。仿真也没有什么问题,是个十进制计数器,并且把进位也输出,是这样的功能吧?
美梦成真-->噩梦降临!
是十进制计数器,我时初学者,你能告诉我那个输出有个定义没有使用,我的波形仿真怎么没有输出。能告诉我怎样修改吗?谢谢!!!!!
我基本没有修改你的设计,动的地方如下:
把那个没用的信号注释掉;
另外仿真的时候注意一下你的各种控制信号:
reset不要长时间有效
我仿真的时候让reset高了几个时钟周期,然后变为低,其他控制信号一直为高;
美梦成真-->噩梦降临!
能不能把你修改好的文件传上来,并加些标注!谢谢!
cnt10.vhd里面的第六行:
CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
注释掉,其他什么都没动
美梦成真-->噩梦降临!
谢谢板主!!!!!!!
返回列表