首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

quartus II的时序问题,请大家帮看一下如何解决,谢谢!-->silverwolf7516转移

quartus II的时序问题,请大家帮看一下如何解决,谢谢!-->silverwolf7516转移

quartus II的时序问题,请大家看一下!

用quartus II做时序仿真时,没有仿真结果(不确定值“XXXXX”),而时序报告里的th是负值,请问是不是这个原因呢?该如何添加时序约束使之能够通过仿真呢?谢谢各位!



报告如下:



minimum slack  required th   actual th    from           to               to clock



N/A               None        -0.066 ns    rst    shifter:u5|im_temp[4]       clk
N/A               None        -0.106 ns    rst    shifter:u5|im_temp[17]      clk
……



……



请问是不是这些原因呢?

我是新手,请大家多多关照!
给你 转到这里,看看有没有人来帮你回答
 
一家之言,没加约束时都没通过,那你加上约束更不行了,看来你的设计有问题。
负值很正常啊
就是说,信号提前到来了
返回列表