首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

关于状态机的问题

关于状态机的问题

mealy型和moore型的状态机的不同点是:前者是输出不仅取决于当前状态还于输入有关;后者只与当前状态有关。 但是我在verilogHDL中不知道怎么看出来它们的区别?请高手能举两个例子!! 谢谢1!
他们两个的区别很小,如果状态机的输出是现态和所有输入的函数,那么它就是mealy型的;
在实际使用中也是这样,需要的时候把输出加入输入的控制就可以了;
美梦成真-->噩梦降临!
楼主可以到 Xilinx 技术论坛 看看,里面有vincent发的一个状态机下载帖子,那个东西不错
美梦成真-->噩梦降临!
http://bbs.chinaecnet.com/dispbbs.asp?boardID=2&RootID=66126&ID=66126
就是这个帖子,楼主去看看,问题解决的话,最好告诉我们一声!
参照最最标准的状态机写法,也就是三段式,最容易看出来。
在交流中前进,共同实现nios的应用。
返回列表