首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

利用键盘控制数码管进行十六进制数字显示

利用键盘控制数码管进行十六进制数字显示

用VHDL语言设计:利用键盘控制数码管进行十六进制数字显示 ,哪位高人会啊,指点以下,给个大体思路,谢谢了!

数码管是由7段组成的:a,b,c,d,e,f,g.他们排列成一个8字,你只要控制某几个亮,某几个不亮,就会得到一个图形,显示出来就是一个数字或者一个字母了;

你要做的的就是告诉芯片:显示你想要数字的时候需要谁亮就可以了

美梦成真-->噩梦降临!
返回列表