首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

quartus急问!!!!

quartus急问!!!!

     我要把fpga自带的rom初始化,并把我的一些数据存入里面,arteral的技术人员说要编写一个mif的文件(memory initial file), 然后在quartus中建一个ROM,rom中调用这个文件,再编译就可以了(要编一个简单的dds)
不过我还是不很明白,希望高手能指点一下。有这方面的例子更好,可以给我发一份,参考一下,谢谢了!love1985o@163.com
斑竹,请多关照啊!

编写一个mif的文件

在new里面就可以选mif文件。

然后在rom生成ip引导对话框中的initial file中将你刚建立的mif选择。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
对~会生成一个rom表,填入数据就可以了
返回列表