首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

CPLD的时钟非要用外部时钟吗?不能直接接晶振?

CPLD的时钟非要用外部时钟吗?不能直接接晶振?

本人现在想做个CPLD的板子,但时钟不知道该怎么接,选用的片子为EPM7128S,

CPLD的时钟非要用外部时钟吗?不能直接接晶振?

INPUT/OE1

INPUT/OE2/GCLK2

INPUT/GLCRn

INPUT/GCLK1

这四个引脚改怎么接,做什么用?看他的datasheet也看不明白,麻烦大虾指教!

晶振的作用就是产生外部时钟。可以使用gclk1或者gclk2引入时钟。

美梦成真-->噩梦降临!

如果你只是做组合电路,可以不需要晶振或是接入时钟

但是做时序电路则一定要接晶振或是接入时钟.

真诚让沟通更简单! QQ:767914192

谢谢你们的回答!

可能是我没表达清楚,我买了一个CPLD的开发板,他们的片子(EPM7128S)的时钟是由一个单片机输出提供的,我是想问我不用其他的控制芯片提供,像单片机的的晶振接法一样,自己在gclk1和gclk2来接个晶振可不可以?

谢谢!

可以。

其实你想要做的才是正儿八经的接法。

美梦成真-->噩梦降临!
7128太老了,用MAXII,可以接晶振

这四个时钟它们有什么区别呢 到底要接多少个时钟引脚 如果接两个时钟或者四个那我就得用两个或者四个晶振么?谢谢

返回列表