首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
hot   Altera FPGA-CPLD设计(基础篇)》  ... 2 3 4 fanyuduo 2008-4-20 57/9029 registration 2010-1-3 23:15
hot   华为内部资料,硬件工程师手册[下载]  ... 2 3 vincent 2005-11-2 40/8986 houenmin 2006-8-21 10:39
hot   [下载]华为FPGA设计流程指南  ... 2 3 4 xyzheng 2005-12-7 56/8844 xhjun_csu 2007-12-3 15:58
hot   《Altera FPGA/CPLD 设计》经典教材 附件  ... 2 3 vincent 2005-12-21 35/8792 lijinxin-9-9 2007-8-23 15:53
hot   分享:王金明:《Verilog HDL 程序设计教程》 附件  ... 2 3 aiger 2007-9-29 37/8715 wangchengcn 2010-12-16 14:44
hot   xilinx-FPGA快速入门教程下载  ... 2 3 4 5 电子工匠 2010-12-14 65/8578 boilice 2011-10-26 10:00
hot   [转帖]FPGA 设计全流程 附件  ... 2 3 4 5 6 .. 7 scany 2007-3-15 100/8411 baozi201 2007-10-28 19:01
hot   quartus II 7.2 的补丁文件 附件  ... 2 3 4 5 nkhare 2007-12-25 74/8313 lotus991 2010-2-5 16:43
hot   VHDL程序设计资料[下载]  ... 2 3 vincent 2005-11-7 30/8115 踏水书生 2006-4-16 10:39
common   模拟芯片设计的四重境界[转帖] linuxarm 2006-7-24 10/8074 kitty324 2010-8-9 15:58
hot   Verilog的基础知识(Pdf) 附件  ... 2 3 4 pspice 2007-7-8 47/7837 lotus991 2010-2-5 17:22
hot   Quartus II 7.0 破解包 附件  ... 2 3 4 flyingcys 2008-3-14 45/7657 luoman 2008-8-12 11:14
hot   基于FPGA的LCD驅動程序的設計.pdf 附件  ... 2 3 4 5 fandali_ella 2007-6-27 65/7646 qaztears 2009-4-27 18:00
hot   FPGA设计的四种常用思想与技巧[下载]  ... 2 3 bjxiong 2005-11-4 41/7594 baiyingli17 2007-5-2 19:53
common   中国 IC 设计公司排行榜 linuxarm 2006-4-29 7/7493 蜗牛没有耳朵 2010-7-17 11:51
hot   [下载]Cyclone II EP2C5开发板原理图 附件  ... 2 3 4 liao7957 2008-1-30 46/7443 neliel 2009-4-22 10:56
hot   [转帖]快来Altera内部网下载Quartus_II_5.0正式版  ... 2 3 罗曼谛克 2005-5-26 39/7421 yuyang420 2005-10-13 15:55
common   常见的封装技术 caopengly 2007-10-20 5/7309 jwdxu2009 2010-8-6 10:52
common   [求助]QPSK调制解调的VHDL(Verilog)源代码  ... 2 team2005 2006-3-17 16/7293 LinLongrun 2011-1-25 12:15
hot   [分享][下载]资料分享:quartus Ⅱ 7.2 中文教程 附件  ... 2 3 lbgy 2008-11-19 34/7279 mega2008 2012-5-9 20:46
hot   时序约束和延迟,Clock Skew的课堂笔记  ... 2 3 vincent 2005-12-16 32/7210 541397129 2011-10-7 21:40
hot   一本比较经典的VHDL的教程和大家交流 附件  ... 2 3 wangxiao1019 2007-8-9 41/7127 jingjing1113 2009-11-19 23:43
common   请问FPGA可以实现倍频么?  ... 2 eDSP 2004-7-29 16/7125 legendbb 2006-5-3 20:00
hot   EDA入门——modelsim基础教程 附件  ... 2 3 vanewen 2007-8-8 34/6923 lotus991 2010-2-5 16:08
hot   verilog入门教程 附件  ... 2 3 jiang123 2007-5-17 36/6721 lotus991 2010-2-5 17:38
hot   资料:直流电机PWM调速设计及其VHDL实现 附件  ... 2 3 lookingsky 2007-10-9 38/6623 bearshan 2009-6-28 07:28
hot   基于FPGA的位同步信号提取 附件  ... 2 3 4 nuanfeng2001 2007-6-19 51/6598 gyan1987 2009-7-5 11:39
hot   夏宇闻著作:从算法设计到硬线逻辑的实现 快点下  ... 2 3 bille 2006-3-8 39/6583 vs9078 2010-5-24 20:57
common   大家说学习FPGA除了做IC设计,还能做什么有前途? my_zjf_ 2006-7-17 7/6562 bjxiong 2006-11-28 09:48
common   8051的IP ,有兴趣的可以研究研究 xyxcsu 2006-7-12 10/6547 baizvdk9zLl 2012-7-24 16:12
hot   Altera训练课程教材[下载]  ... 2 3 reeveswang 2005-12-16 30/6545 xuebx 2009-12-12 17:21
common   [求助]关于Memory Compiler. djhme 2008-8-24 1/6472 caopengly 2008-9-5 12:57
hot   [下载]数字信号处理的FPGA实现  ... 2 3 Tai 2005-12-5 33/6401 huang5588 2009-5-8 14:44
hot   关于FPGA同步设计的培训资料与大家分享  ... 2 3 waterlily 2006-8-5 34/6399 libaokeji 2009-10-30 13:46
hot   FPGA硬件语义  ... 2 3 电子工匠 2010-12-14 30/6367 l1123709655 2018-1-19 17:23
common   modelsim问题Error: Failure to obtain a Verilog simulation license benbenth1982 2008-8-14 4/6277 NEWPY 2010-11-5 11:55
hot   给大家上传一个用FPGA实现USB的设计! 附件  ... 2 3 4 stellen 2008-1-5 57/6187 monkey_jin 2009-4-25 20:20
common   求用于altera的IP核(FIR)的License yxliu78 2004-10-8 12/6144 cjfwindy 2012-10-11 21:40
hot   基于FPGA的基4碟形运算FFT模块,流水线设计 附件  ... 2 刘树勋84 2008-2-27 21/6102 skyfighter 2010-8-18 17:46
hot   [应求]FFT源代码! 附件  ... 2 3 caopengly 2007-12-7 36/5987 fbluesky 2009-3-4 16:15
    类型 排序方式 时间范围