首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common   4.使用Quartus II软件:简介 附件 苹果也疯狂 2013-6-17 0/289 苹果也疯狂 2013-6-17 11:25
common   5.使用Quartus II软件:基础 附件 苹果也疯狂 2013-6-28 0/289 苹果也疯狂 2013-6-28 13:22
common   5.使用Quartus II软件:基础 附件 苹果也疯狂 2013-6-28 0/289 苹果也疯狂 2013-6-28 22:05
common   5.使用Quartus II软件:基础 附件 苹果也疯狂 2013-6-28 0/289 苹果也疯狂 2013-6-28 22:16
common   5.使用Quartus II软件:基础 附件 苹果也疯狂 2013-6-28 0/289 苹果也疯狂 2013-6-28 22:25
common   6.Quartus II软件中的原理图设计 附件 苹果也疯狂 2013-8-1 0/289 苹果也疯狂 2013-8-1 15:02
common   17.Nios II处理器:Nios II IDE 附件 苹果也疯狂 2013-8-27 0/289 苹果也疯狂 2013-8-27 16:01
common   -LED及DIY酷炫 wxg1988 2013-12-5 0/289 wxg1988 2013-12-5 12:42
common   使用Vivado HLS实现浮点复数矩阵分解 pengpengpang 2014-1-14 1/289 pengpengpang 2014-1-14 22:04
common   Virtex-7 FPGA Gen3 Integrated Block Completion timeout 机制 pengpengpang 2014-1-16 1/289 pengpengpang 2014-1-16 23:30
common   ZedBoard学习(六)-System Generator实现串口通信(一行HDL代码都不用写) pengpengpang 2014-1-18 0/289 pengpengpang 2014-1-18 11:51
common   GSM教你使用ISE将未使用的管脚变成高阻态 苹果也疯狂 2014-2-23 0/289 苹果也疯狂 2014-2-23 17:59
common   FPGA在TD-SCDMA通用开发平台中的应用 苹果也疯狂 2014-2-27 0/289 苹果也疯狂 2014-2-27 17:49
common   用FPGA平台实现工业电机最大效率 苹果也疯狂 2014-3-23 0/289 苹果也疯狂 2014-3-23 10:20
common   使用Vivado HLS实现浮点复数矩阵分解 pengpengpang 2014-7-25 0/289 pengpengpang 2014-7-25 22:28
common   智能交通:汽车车牌定位识别完整设计 porereading 2014-7-27 0/289 porereading 2014-7-27 16:02
common   把ISE中的FSM直接变为状态转移图 pengpengpang 2014-8-18 0/289 pengpengpang 2014-8-18 20:09
common   ZedBoard学习(五)-ZedBoard和System Generator pengpengpang 2014-9-11 0/289 pengpengpang 2014-9-11 20:54
common   VerilogHDL仿真中的宏定义方式 pengpengpang 2014-9-19 0/289 pengpengpang 2014-9-19 21:22
common   如何在FPGA设计环境中加时序约束 pengpengpang 2014-9-19 0/289 pengpengpang 2014-9-19 21:23
common   基于CPLD的FPGA快速配置电路的设计 冰封 2014-9-23 0/289 冰封 2014-9-23 18:57
common   modelsim10 SE仿真lattice Xp2工程(转) pengpengpang 2014-9-24 0/289 pengpengpang 2014-9-24 11:39
common   赛灵思(xilix)ISE生成文件与下载_MZ pengpengpang 2014-11-20 0/289 pengpengpang 2014-11-20 15:51
common   实习感悟 pengpengpang 2014-11-23 0/289 pengpengpang 2014-11-23 22:33
common   Verilog的135个经典设计实例 附件 苹果也疯狂 2014-12-14 0/289 苹果也疯狂 2014-12-14 16:16
common   在Kintex-7 PCIe原型板上的5通道CoaXPress FMC卡,针对机器视觉应用 pengpengpang 2014-12-20 0/289 pengpengpang 2014-12-20 21:04
common   FIFO 冰封 2014-12-30 0/289 冰封 2014-12-30 20:14
common   基础知识:ASIC 和FPGA 深度比较 pengpengpang 2015-1-17 0/289 pengpengpang 2015-1-17 19:18
common   工程师嵌入式Linux自学笔记及体会 520503 2015-3-19 0/289 520503 2015-3-19 23:47
common   FPGA开发之Tcl项目设计一 pengpengpang 2015-3-28 0/289 pengpengpang 2015-3-28 15:30
common   FIR数字滤波器分布式算法的原理及FPGA实现 冰封 2015-3-31 0/289 冰封 2015-3-31 16:18
common   FPGA开发板远程检测系统的实现 porereading 2015-5-30 0/289 porereading 2015-5-30 07:37
common   变压器什么情况下需要配备零序保护? porereading 2015-10-18 0/289 porereading 2015-10-18 13:41
common   FPGA时序约束的6种方法 yshc 2015-11-22 0/289 yshc 2015-11-22 12:29
common   OFDM同步算法的FPGA实现 yshc 2015-12-22 0/289 yshc 2015-12-22 21:46
common   基于PLL的时钟模块 mywork 2016-7-6 1/289 neverstop 2016-7-6 19:58
common   等效时间采样原理及基于FPGA的实现(1) yshc 2016-8-5 0/289 yshc 2016-8-5 15:43
common   结合FPGA与DSP的仿人假手控制系统设计(3) yshc 2016-8-5 0/289 yshc 2016-8-5 16:20
common   如何选择正确的芯片验证方法 yuchengze 2016-8-22 0/289 yuchengze 2016-8-22 09:49
common   采用赛灵思FPGA 实现可扩展的MIMO 预编码内核(3) yshc 2016-9-20 0/289 yshc 2016-9-20 22:13
    类型 排序方式 时间范围