首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问在verilog里,always可不可以用在task里面

请问在verilog里,always可不可以用在task里面

各位,我是新手,请问在verilog里,always可不可以用在task里面.
不可以。
因为 task只是个任务被调用的任务。
这个自己做下验证最清楚了!
呵呵
返回列表