首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]quartus II 中的问题

[求助]quartus II 中的问题

为什么我在编译完一个程序的时候,只要是我的程序中有用到clk的就会出现警告?怎样消除?


警告如下: Warning: Found pins functioning as undefined clocks and/or memory enables
 Info: Assuming node "clk" is an undefined clock

最好把程序也发来看看
╔☆→────────────────←☆╗
┊寻觅在电子中, , , 寻求适合自己的一席┊┊┊┊
┊也许生活本忙碌,  想享受安逸只能是心态了吧┊
╚☆→────────────────←☆╝      &
Fw:我觉得也是blueprince说的那个意思,倒是不影响工作的运行,只是老是出现这个警告也不是个办法啊!怎样消除呢?
如果方便还是把程序拿来大家一起看看
美梦成真-->噩梦降临!
返回列表