首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: hdl

  版块 作者 回复/查看 最后发表
用户自定义逻辑PWM,以Verilog HDL 做的逻辑,以C语言做的应用程序 ... 1 2 3 icesword 2005-6-17 36 / 7649 cumt04071770 2011-5-22 19:24
[下载]verilog HDL RTL书写圣经 FPGA/CPLD可编程逻辑 bjxiong 2005-11-9 11 / 2806 lixing865 2011-5-5 21:55
一本很好的教程 Verilog HDL学习与设计(word) ... 1 2 3 FPGA/CPLD可编程逻辑 ifb0101 2006-2-15 44 / 10115 foxmansu 2011-3-29 16:47
分享:王金明:《Verilog HDL 程序设计教程》 ... 1 2 3 FPGA/CPLD可编程逻辑 aiger 2007-9-29 37 / 8749 wangchengcn 2010-12-16 14:44
Verilog HDL练习题 ... 1 2 FPGA/CPLD可编程逻辑 aaronxu 2008-4-16 18 / 5394 zpw 2010-3-8 10:12
[分享]《经典Verilog HDL语言例子48例》 ... 1 2 FPGA/CPLD可编程逻辑 lbgy 2008-11-19 16 / 4479 lotus991 2010-2-5 16:54
[求助]能不能将用HDL设计出的模块在SCH中调用? FPGA/CPLD可编程逻辑 zzq_3012 2010-1-8 1 / 1428 flanix 2010-1-11 11:51
[下载](Kluwer) Writing Testbenches--Functional Verification of HDL Models ... 1 2 vincent 2006-4-19 20 / 7255 lgx3211 2009-10-2 22:22
[分享]Verilog_HDL_高级数字设计源码.rar 资料共享 gaoxs 2008-3-24 9 / 3057 sharpzou 2009-2-22 20:20
有哪位高手知道Verilog HDL中reg与wire类型的变量该怎么使用? FPGA/CPLD可编程逻辑 ahoo 2007-7-26 5 / 5540 ice3fly 2009-2-13 09:50
verilog hdl 的延时问题 FPGA/CPLD可编程逻辑 encaon 2009-1-4 2 / 1378 chsuchayen 2009-1-13 01:37
请教曼彻斯特编解码器Verilog HDL的编写方法 ... 1 2 FPGA/CPLD可编程逻辑 rourouxiong 2007-4-6 18 / 5382 Astra 2009-1-2 16:10
(初学者的问题)编译好的HDL,想借助ISE10.1看一下对应的原理图,忘了怎样操作了, fengmingliang 2008-12-3 0 / 938 fengmingliang 2008-12-3 14:27
第2章 Verilog HDL语言基础[FPGA开发实用教程] ... 1 2 pengyoubieku 2008-11-22 21 / 9587 pengyoubieku 2008-11-22 20:19
Verilog HDL语言在FPGA/CPLD开发中的应用 pengyoubieku 2008-9-6 3 / 1382 lulu_0509 2008-9-8 18:30
硬件描述语言HDL的现状与发展 pengyoubieku 2008-9-3 5 / 1110 pengyoubieku 2008-9-3 22:37
一种基于移位寄存器的CAM的Verilog HDL实现 pengyoubieku 2008-8-25 2 / 1097 pengyoubieku 2008-8-25 22:17
VERILOG HDL语法指导 zanz84 2008-3-16 3 / 1164 vfdff 2008-8-18 11:55
用Verilog HDL实现I2C总线功能 pengyoubieku 2008-8-11 3 / 1281 pengyoubieku 2008-8-11 21:34
求助:C学得不好也可以学习HDL吗? FPGA/CPLD可编程逻辑 eileenwise 2008-6-10 1 / 878 caopengly 2008-6-10 11:18
verilog HDL 的阻塞和非阻塞语句分析 FPGA/CPLD可编程逻辑 record 2008-5-16 1 / 1088 no2rain 2008-5-17 12:25
求QUARTUSII 和 Verilog HDL有用中文 资料 FPGA/CPLD可编程逻辑 chenms 2008-5-17 1 / 923 caopengly 2008-5-17 09:45
基于Verilog HDL的CMOS图像敏感器驱动电路设计 pengyoubieku 2008-5-15 3 / 1066 pengyoubieku 2008-5-15 14:32
有谁用verilog HDL做过数字闹钟,可不可以指点一下,谢谢了! DSP技术 higildedzest 2008-5-12 0 / 1231 higildedzest 2008-5-12 21:40
dsp builder转hdl文件出错 512404 2008-5-2 0 / 1263 512404 2008-5-2 00:09
请高手推荐初学verilog HDL的书籍。 FPGA/CPLD可编程逻辑 roundsea 2008-4-11 2 / 1411 roundsea 2008-4-14 14:45
Verilog HDL设计方法概述 FPGA/CPLD可编程逻辑 hpyfei 2008-2-14 12 / 1829 sunchao_hit 2008-3-29 10:17
[求助][讨论]有用verilog HDL设计数字钟的没啊? FPGA/CPLD可编程逻辑 daaxue 2008-3-21 0 / 974 daaxue 2008-3-21 14:02
[求助] Verolog HDL 数字钟设计原理(有程序更好) FPGA/CPLD可编程逻辑 kane_cx 2005-5-22 2 / 1486 woshizl 2008-3-18 21:11
基于Verilog HDL设计的自动数据采集系统 pengyoubieku 2008-3-8 7 / 1120 ganshengliang_1 2008-3-11 09:49
用Verilog HDL实现I2C总线功能 FPGA/CPLD可编程逻辑 caopengly 2008-3-3 1 / 1112 caopengly 2008-3-3 20:23
硬件描述语言HDL的现状与发展 FPGA/CPLD可编程逻辑 caopengly 2008-3-2 1 / 1440 caopengly 2008-3-2 14:27
请问Concept HDL和Design HDL一样么? PCB综合技术 shiheng 2008-2-25 1 / 970 numbdemon 2008-2-27 18:00
[讨论]allegro 原理图CIS 和 HDL到底有?区别的呢 PCB综合技术 hawktan 2008-1-28 3 / 1354 numbdemon 2008-2-2 11:49
求基于FPGA的多路模拟量、数字量采集与处理系统的HDL代码 FPGA/CPLD可编程逻辑 a_Tina 2008-1-6 1 / 837 caopengly 2008-1-6 23:43
Verilog HDL pengyoubieku 2007-11-30 0 / 888 pengyoubieku 2007-11-30 22:02
求助:HDL编译问题 lengzhu 2007-11-1 1 / 912 pengyoubieku 2007-11-2 22:08
[Verilog]分频HDL的实现方法介绍(引用) pengyoubieku 2007-10-27 0 / 1415 pengyoubieku 2007-10-27 21:23
[求助]在QuartusII中用Verilog HDL如何给寄存器赋初值 FPGA/CPLD可编程逻辑 kikitty 2007-8-22 2 / 3755 caopengly 2007-8-22 22:43
用verilog hdl编写一个加密器 FPGA/CPLD可编程逻辑 whh2000 2007-7-21 2 / 783 仙猫 2007-7-22 00:39