首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: quartus

  版块 作者 回复/查看 最后发表
quartus 仿真时[0]是什么意思啊,有高阻表示方法吗? FPGA/CPLD可编程逻辑 csmasteryxk 2007-7-9 2 / 1352 csmasteryxk 2007-7-10 13:45
求助:谁有QUartus完整 的 使用说明 FPGA/CPLD可编程逻辑 yangzhenling 2007-7-2 2 / 981 caopengly 2007-7-3 08:48
哪位大大有QUARTUS 5.0的license文件啊. 是破解版的,最好附带安装说明. 先谢谢了. FPGA/CPLD可编程逻辑 ilxz77 2006-1-4 8 / 2728 laoguai142 2007-6-20 11:26
quartus 哪有下载????? FPGA/CPLD可编程逻辑 fgc 2007-6-11 1 / 969 sunjie19840522 2007-6-12 19:08
如何使用测试文件实现在Quartus中的仿真 FPGA/CPLD可编程逻辑 silentsea 2007-6-10 0 / 977 silentsea 2007-6-10 18:07
quartus程序下载问题 FPGA/CPLD可编程逻辑 wj8207531 2007-5-31 2 / 1174 wj8207531 2007-6-1 08:04
Quartus 6中有没有现成的分频器模块啊 FPGA/CPLD可编程逻辑 Tong_36 2007-5-12 4 / 1989 cdbar 2007-5-22 10:23
quartus编译得问题 FPGA/CPLD可编程逻辑 jiangedela 2007-4-21 2 / 1027 xy031116 2007-5-20 22:50
quartus编译出错 FPGA/CPLD可编程逻辑 xy031116 2007-5-20 0 / 778 xy031116 2007-5-20 22:49
求QUARTUS 6.0 DDR 的LICENSE benxiaohai 2007-5-13 1 / 1504 kzw 2007-5-14 23:31
求助:关于quartus的波形仿真问题 FPGA/CPLD可编程逻辑 redearth1979 2007-5-12 1 / 828 blueprince 2007-5-12 13:21
quartus 仿真出错 FPGA/CPLD可编程逻辑 wmx182 2007-3-11 2 / 1188 tmsonhust 2007-4-30 17:49
用quartus ii,如何仿真中间信号 FPGA/CPLD可编程逻辑 chang2007 2007-4-26 4 / 2346 curylee 2007-4-27 23:26
quartus ii6.0破解问题 FPGA/CPLD可编程逻辑 itmakes 2006-12-13 3 / 2031 zhongyunde 2007-4-21 20:09
求quartus 5.0 license kglawson 2007-4-20 2 / 937 blueprince 2007-4-20 19:35
求助quartus编译问题 FPGA/CPLD可编程逻辑 xkee 2007-4-20 1 / 885 blueprince 2007-4-20 13:14
求卷积码编解码的quartus编程 FPGA/CPLD可编程逻辑 gaoyang841117 2007-4-18 0 / 958 gaoyang841117 2007-4-18 15:25
求助Quartus如何调用nios啊 FPGA/CPLD可编程逻辑 frank2000 2007-4-12 1 / 855 sunfred 2007-4-12 11:11
求quartus II的license FPGA/CPLD可编程逻辑 aabbee 2007-4-12 1 / 1016 cdbar 2007-4-12 09:44
〔求助啊〕在Quartus中有什么芯片能进行相位累加啊。。。 FPGA/CPLD可编程逻辑 spitfires 2007-3-26 1 / 1068 simon707 2007-4-3 18:55
高手请进,Quartus II安装总出错!郁闷 FPGA/CPLD可编程逻辑 Athenaying 2007-3-11 3 / 1179 gaojin1205 2007-4-1 13:25
有关modelsim se的问题,和quartus连不上!! chunsw 2007-3-29 1 / 1315 chunsw 2007-3-31 10:56
那位仁兄有 quartus 6.0的license 要支持大部分功能的那种 chongxinzhenzuo 2007-3-28 2 / 997 kzw 2007-3-29 23:16
跪求Quartus II6.0软件 FPGA/CPLD可编程逻辑 lennyo11 2007-3-17 2 / 951 mfkiass 2007-3-25 15:39
[讨论]关于Quartus II 的版本 ElecBoy 2007-2-15 3 / 1443 fatlxz 2007-3-23 07:53
Altera发布Quartus II设计软件7.0支持Cyclone III juliguo 2007-3-21 0 / 1010 juliguo 2007-3-21 16:14
[求助]Quartus II5.0如何安装 FPGA/CPLD可编程逻辑 tiefer 2007-3-15 1 / 1504 caopengly 2007-3-16 16:35
问quartus中怎么连接modlesim FPGA/CPLD可编程逻辑 chenchenchen 2007-3-8 1 / 673 stone133 2007-3-9 09:24
求助!使用QUARTUS 2 6.0在仿真时如何置数? x41_z 2007-2-1 1 / 1336 caopengly 2007-2-1 12:20
请问quartus2里如何手动分配少写的位置? FPGA/CPLD可编程逻辑 wangwoshida 2007-1-22 0 / 792 wangwoshida 2007-1-22 11:04
求Quartus入门资料 FPGA/CPLD可编程逻辑 michael_jm 2007-1-20 1 / 999 caopengly 2007-1-21 14:35
[在线求助]QUARTUS破解后综合出问题 okhu 2007-1-9 2 / 2305 okhu 2007-1-20 13:14
[求助]Quartus中的sopc builder libra811 2006-12-13 10 / 1980 kzw 2006-12-27 00:45
max下的一个工程转到quartus FPGA/CPLD可编程逻辑 tanjing406 2006-12-25 1 / 1176 stone133 2006-12-26 13:53
quartus 6.1 测试测量 aronglai 2006-11-25 1 / 1195 cxynupc 2006-12-21 03:16
潇潇求助 哪位大哥哥有QUARTUS II的中文全面教程 FPGA/CPLD可编程逻辑 韶华潇潇 2006-11-29 5 / 1492 qiuzou 2006-12-14 22:21
[求助]quartus II 6.0中如何进行testbench fantasydp 2006-11-28 2 / 2039 wilde.1 2006-12-13 23:24
Quartus生成的.vo文件在modelsim中的使用 libra811 2006-12-12 2 / 1870 libra811 2006-12-13 22:16
哪儿有quartus ii6 .0下载 FPGA/CPLD可编程逻辑 itmakes 2006-12-11 1 / 1244 stone133 2006-12-12 19:56
求助关于在quartus6.0版本中制作target board的问题? wmin97 2006-12-5 2 / 1357 wmin97 2006-12-5 16:30