首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common   ISE 7.1i中iMPACT出现如此警告,该咋办?请教各位! cyz_arm 2006-4-8 8/2326 stone133 2006-4-14 13:46
common   没有用到的引脚怎样处理? anotherchen 2006-4-3 7/2323 stone133 2006-4-4 21:24
common   谁知 在vhdL中如何分配管脚? sccgjchn@hotma 2003-12-16 4/2317 sccgjchn@hotmai 2003-12-16 15:49
common   verilog 写程序读rom 数据仿真出现毛刺 minyatouli 2009-1-6 2/2315 jiajialin 2009-1-13 10:30
common   FIFO写满时,产生的数据放在哪儿啊? 1001 2007-11-22 6/2314 cczmzm 2008-8-3 20:42
common   求助,哪位高手有关于整数分频和小数分[频的源程序(ahdl或vhdl) qiangwei 2004-9-15 2/2313 qiangwei 2004-9-15 09:56
common   [转帖]上拉电阻下拉电阻的总结 linmin1984 2008-5-13 4/2312 jacob.ning 2008-8-22 11:26
common   电子类常用缩写(英文翻译) 1001 2005-10-18 6/2311 zkhailang 2008-5-11 14:30
common   verilog边沿触发的疑问 anotherchen 2006-6-10 13/2310 anotherchen 2006-6-20 17:30
common   怎样用Verilog产生任意波形,如pwm信号?? andywen0 2006-7-25 6/2310 waterlily 2006-7-31 13:22
common   用SoC实现视频图形引擎功能的研究[转帖] herbert 2006-1-4 3/2305 herbert 2006-1-4 14:36
common   请问关于lpm_fifo的问题。 qin 2003-9-23 3/2304 bubu 2005-8-25 09:22
common   关于静态、动态时序模拟的优缺点 kuxi4969 2006-10-30 1/2300 wice 2006-11-4 15:00
common   [下载]Synplify8.2 Crack svcgl 2005-12-27 8/2300 graduate 2007-10-28 03:23
common   cpld引脚的疑问 anotherchen 2006-4-8 7/2296 stone133 2006-4-14 13:18
common   [原创]dspbuilder 7.0破解 附件 dongxueyang 2008-6-2 5/2295 zsf2008 2008-9-23 22:10
common   SOC与单片机应用技术的发展[转帖] herbert 2005-12-28 2/2293 herbert 2005-12-28 15:34
common   在哪儿下载QUARTUS II itoletgthp 2008-1-24 5/2292 caopengly 2008-2-13 11:10
common   FPGA怎么加时序约束 wangdimvp 2007-11-28 5/2291 stone133 2008-3-11 11:20
common   AHDL Function Prototype和VHDL Component Declaration中的声明 参数不一致 graduate 2007-10-7 4/2288 graduate 2007-10-12 02:07
common   提供一个 Quartus_II_7.2_b151破解器 附件 liuyang42082 2008-7-15 0/2285 liuyang42082 2008-7-15 15:50
common   深入浅出玩转FPGA视频学习课程 flanix 2011-1-10 4/2285 851887 2011-3-25 10:58
common   关于maxplus的仿真时间 唐孝忠 2003-9-1 6/2284 samire 2003-9-1 16:34
common   有FPGA/ASIC设计的digital & analog IP cores可以提供,如需要可email联系 designlab 2007-10-11 0/2283 designlab 2007-10-11 11:27
common   [求助]毕业设计:用VHDL设计PS/2键盘接口,对应于数字0--9显示在数码管上 风吹头发乱 2005-4-10 2/2278 秋风 2007-1-15 14:12
common   Xilinx ISE 8.1i似乎不支持中文注释! cyz_arm 2006-3-30 11/2277 zyq_73636 2006-4-12 20:39
common   FPGA流水线技术[下载] nbhansan 2005-12-28 10/2264 luoman 2009-3-25 16:06
common   异步FIFO结构及FPGA设计 附件 chonggg77 2007-11-10 12/2260 liangzhu212 2009-3-28 14:27
common   请教高手 安装quartusⅡ4.2的问题 基洛级 2005-12-16 2/2254 王刚 2005-12-22 16:46
common   求助,VHDL设计数字钟 huangfeng515 2004-5-25 4/2250 xie0670 2005-6-27 22:20
common   Xilinx Spartan-3E Sample Pack 的使用说明(中文)? 附件 andywen0 2006-8-23 2/2248 Lie 2013-1-10 22:21
common   [讨论]如何为verilog代码加密 bjxiong 2007-10-15 4/2247 chenm001 2007-10-18 10:32
common   modelsim不能仿真32位以上的信号吗? binghd 2006-4-20 9/2245 leeeweimin 2012-9-11 14:57
common   cpld用byteblaster下载不了 56457494 2008-5-29 6/2244 xw33120 2009-6-23 11:11
common   关于modelsim的仿真问题 xldu_ustc 2004-3-9 0/2242 xldu_ustc 2004-3-9 22:14
common   请教cic滤波器的FPGA实现问题(待高手指点) ssufn@sina.com 2003-11-4 7/2238 A120 2003-11-4 16:26
common   谁能告诉我关于fpga,epld,cpld的区别呢? zhoudsh02@st.l 2003-9-8 1/2236 zhoudsh02@st.lz 2003-9-8 10:45
common   ASIC 高发展平台 高薪聘 delina2000 2006-12-7 3/2235 delina2000 2006-12-7 16:37
common   [求助]各位高手,小弟刚学VHDL,写了一个计数器程序,综合时报错,请教各位 qj32qj32 2006-4-14 9/2233 blueprince 2006-4-15 22:55
common   硬件设计资料,主要为各种接口的接线方法(E文) sswly 2005-12-15 11/2233 huanghuairen 2007-6-25 17:07
    类型 排序方式 时间范围