首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common   请问大虾,在MAX+PLUS(10.0)里是不是不支持此语句q(i) ljp 2004-5-25 3/1464 boyfly 2004-5-25 16:57
common   各位大虾求救!QUARTUS II 警告解决办法! houxiaomo 2006-5-23 6/1464 stone133 2006-6-1 18:29
common   [求助]这段检测有无触发信号的程序为什么不行啊 nerissa 2009-8-9 6/1463 yumuzi 2009-8-11 17:43
common   [下载]ChipScope Pro 7.1i 序列号生成器-->silverwolf7516转移 jzg888 2006-1-22 0/1461 jzg888 2006-1-22 15:42
common   新手请教:通过SPI口实现FPGA与CPU通信时,FPGA的地址译码该怎么做? amanda 2006-6-28 2/1461 xiafan 2006-8-10 16:50
common   新手上路,请教一些比较弱智的问题,高手不要笑话 easehify 2005-12-17 1/1460 easehify 2005-12-19 12:43
common   ALTERA FPGA 开发流程探讨! 唐孝忠 2003-9-28 0/1459 唐孝忠 2003-9-28 11:11
common   ucf怎么写? fpja_123 2007-8-3 1/1459 caopengly 2007-8-3 22:46
common   有没有AD采集和DA(4-20ma)输出集合在一起的产品。 kllyj2008 2009-5-26 1/1459 kllyj2008 2009-5-26 14:34
common   FPGA--EP2S180F1020I4--ALTELRA 0625 工业级别6000RMB 欢迎选购 附件 Ankey 2008-9-24 1/1458 caopengly 2008-9-24 21:53
common   基于嵌入式系统和CAN总线的检修机械臂控制系统 pengpengpang 2015-7-22 0/1458 pengpengpang 2015-7-22 15:19
common   我也发一个芒果机饮料机verilog hdl的程序和波形发生 和大家分享 latin 2004-8-9 0/1456 latin 2004-8-9 17:02
common   [下载]QuartusII时序约束TimeQuset使用说明 附件 zddx 2007-4-10 0/1456 zddx 2007-4-10 22:27
common   有没有哪位大虾曾经使用过Flash S29GL256-N????? bobby024 2007-6-19 0/1456 bobby024 2007-6-19 11:03
common   各位大虾救我!!!altera的ep1k30的下载问题 shi96422 2003-11-26 0/1455 shi96422 2003-11-26 12:46
common   各位,救救我吧!!!!!!!! long 2003-9-17 1/1454 nanjingjack@sin 2003-9-17 10:22
common   求教配置错误的提示,什么原因啊 mcuwing 2004-6-12 0/1454 mcuwing 2004-6-12 11:23
common   在Altera的FPGA中如何验证设计 stream33 2005-5-31 0/1454 stream33 2005-5-31 16:08
common   新手求助:如何写verilog的类isa接口??? sunshine998 2005-9-27 2/1454 fanxin_bme 2005-10-20 12:36
common   error:can't read "x[geometry]";no such element in array. jeffjjj 2006-1-23 2/1453 jeffjjj 2006-1-23 14:46
common   怎么用VHDL语言来编一个16位的串入并出移位寄存器 internethero 2004-4-18 0/1452 internethero 2004-4-18 11:06
common   [求助]存储器初始化的问题.mif xing198200 2005-4-8 2/1452 xing198200 2005-4-10 11:07
common   altera公司QuartusII4.0的中文官方教程 ljp099 2004-8-5 1/1451 fuchao 2005-6-1 14:14
common   寻找基于FPGA的FFT实现代码,用来研究做毕设,VHDL,Verilog均可 zylw516565 2008-5-2 2/1450 vwta 2008-6-23 16:09
common   [求助]能不能将用HDL设计出的模块在SCH中调用? zzq_3012 2010-1-8 1/1449 flanix 2010-1-11 11:51
common   用FPGA的FIFO程序,最大读写时钟多大? zsz810924 2006-6-25 4/1448 stone133 2006-6-27 12:00
common   cpld 发热的疑问 anotherchen 2006-8-17 5/1448 stone133 2006-9-3 18:06
common   请教各位 ljp 2003-8-29 4/1446 qin 2003-8-29 16:55
common   谁有ISE5.2i的注册码呀,XILINX给我的是个评估码,郁闷。。。谢谢! qin 2003-9-8 1/1446 qin 2003-9-8 11:14
common   Quartus II 使用入门10分钟 gillqianqian 2009-1-17 4/1446 leeouman 2009-4-28 13:22
common   谁有MCX314控制方面的资料 附件 chxiaoxf 2008-3-8 1/1446 guhong_su 2009-10-16 11:03
common   请高手推荐初学verilog HDL的书籍。 roundsea 2008-4-11 2/1445 roundsea 2008-4-14 14:45
common   请教关于FIFO的问题 xiaoqiang87 2009-2-21 3/1445 meizi10199 2009-4-30 11:39
common   求救,一个应该是比较简单的问题!可是我不会! zxh790822@163. 2003-8-29 5/1444 zxh790822@163.c 2003-8-29 20:09
common   【求助】静态时序分析与时序仿真? zhn009 2010-3-3 0/1444 zhn009 2010-3-3 23:16
common   现在哪个公司的cpld/fpga占市场的主流? wwchgjxy 2005-10-27 3/1443 wwchgjxy 2005-10-27 14:26
common   textio的问题! long 2003-9-8 1/1442 ljp 2003-9-8 11:16
common   求助!! maxplus 10.2 不能使用vhdl 吗? freebin 2004-5-25 1/1442 boyfly 2004-5-25 11:31
common   [原创]EPROM,E2PROM或Flash技術,请问这三者的结构和原理 piaodu 2004-6-25 2/1441 piaodu 2004-6-25 09:30
common   Verilog_golden中文版.pdf stevexy 2008-2-23 2/1441 lyc715088692 2014-5-7 09:30
    类型 排序方式 时间范围