首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common   基于xilinx公司ISE 5.x的嵌入式微处理器开发 wm22cn 2004-2-25 0/1320 wm22cn 2004-2-25 08:22
common   关于在quartus中调用modelsimi的问题,可以帮我解答一下么? duhuaishui 2007-7-13 2/1320 yarinluolan 2007-10-10 17:07
common   [求助]同步FIFO设计 laoguai142 2008-2-24 2/1320 caopengly 2008-2-25 19:42
common   FPGA 电力电子数字控制(教材) yumuzi 2008-11-27 0/1320 yumuzi 2008-11-27 15:08
common   Sitara ARM处理器新增Android 4.0支持 wxg1988 2012-6-21 2/1320 tl1116 2012-8-20 10:37
common   请问哪有 MAXPLUSII 的 numeric_std.vhd 库文件? qin 2003-9-25 0/1319 qin 2003-9-25 09:13
common   求教各位高手!基于FPGA的FIR滤波器实现 tbcccc 2004-5-10 2/1319 green_pine 2004-5-10 10:24
common   我的毕业设计 jeiwen 2005-6-9 3/1319 gymdove 2005-6-10 16:13
common   多种EDA工具的FPGA协同设计[转帖] vincent 2006-2-20 4/1319 vincent 2006-2-20 12:04
common   求助xilinx 开发板 graduate 2008-9-16 1/1319 caopengly 2008-9-16 22:18
common   FPGA系统设计实战经验分享-硬件篇(转载) encaon 2009-2-18 1/1319 peter_84875466 2009-2-19 12:27
common   请问17位的二进制计数器怎么产生? shirleydp 2003-8-1 1/1318 shirleydp 2003-8-1 19:53
common   各位大侠!帮我看看锁存器程序. zhf2477 2004-5-18 2/1318 zhf2477 2004-5-18 21:42
common   对DCM使用的理解(转贴) 32kmcu 2005-10-12 3/1318 32kmcu 2005-10-13 09:04
common   求PCM编码的VHDL或Verilog程序!!急急 aminlin 2007-6-18 0/1318 aminlin 2007-6-18 01:58
common   为什么定义信号量? hstaii@163.net 2003-9-18 0/1317 hstaii@163.net 2003-9-18 10:16
common   FPGA 直流电机控制[求助] william879 2004-3-28 0/1317 william879 2004-3-28 12:46
common   请教:编写乘法和除法运算的问题 飞天一剑 2005-3-29 1/1317 hskcjh1234 2005-4-11 15:49
common   时序约束--给你们贴些资料 boyfly 2004-12-2 1/1317 flydream01 2005-9-7 09:28
common   这样一个的fpga系统应该怎么设计? luckboy 2005-9-13 10/1317 luckboy 2005-9-22 16:57
common   请教如何使用FPGA设计测频电路 朱秋英 2005-12-7 0/1317 朱秋英 2005-12-7 11:55
common   [原创]请教:怎样用VHDL做赌博游戏中的色子游戏!!!求高手指点!!! kyralulu 2006-5-30 5/1317 stone133 2006-6-7 14:59
common   用VHDL编译中值滤波器怎么做哦 wutianfei 2007-2-17 4/1317 fpga20 2007-3-8 12:38
common   有谁知道深圳国微电子? diyu61982 2007-5-11 0/1317 diyu61982 2007-5-11 12:51
common   新手求助一个简单的蜂鸣器实验 xiaokunkun1 2009-3-20 2/1317 wenbo 2009-3-28 22:12
common   请问有没有2*128的I/O脚的CPLD[原创] shirleydp 2003-8-10 3/1316 shirleydp 2003-8-10 10:58
common   求助斑竹:PC与FPGA板子串口通讯乱码问题 summerytyj 2007-9-19 1/1315 caopengly 2007-9-19 19:23
common   在isplevel软件中关于bus的问题! 附件 giveyou 2008-1-11 3/1315 flanix 2008-1-14 08:42
common   《基于VHDL的FPGA与NIOS II实例精炼》配套资源下载 flanix 2011-8-11 1/1315 yangzhaofei1 2011-8-12 20:41
common   四川老乡来聚聚 游龙在天 2004-2-25 3/1314 feihongpld 2004-2-25 10:50
common   新手问:clk'event and clk='1'为什么不能被maxplus2识别 laterose 2005-1-8 2/1314 hxxfff 2005-1-8 10:48
common   [求助]quartus7.2的license knight-y 2008-4-27 0/1314 knight-y 2008-4-27 09:52
common   fpga,cpld开发板各一块,两块共1000元 galaxy215 2008-8-6 2/1314 zhuoxj 2008-11-20 17:02
common   D版IPC-6012《刚性印制板鉴定与性能规范》标准发布 porereading 2015-10-18 0/1314 porereading 2015-10-18 13:49
common   [求助]求助电梯模型设计 linduck 2005-4-24 0/1313 linduck 2005-4-24 20:36
common   用CPLD和Flash实现FPGA配置 caopengly 2007-5-9 4/1313 aeron 2008-6-6 15:41
common   EPM7128的TURBO位如何控制? 唐孝忠 2003-10-24 2/1311 唐孝忠 2003-10-24 08:55
common   有偿求助关于网络还原卡更改问题 guanyli_cn 2004-1-1 0/1311 guanyli_cn 2004-1-1 13:56
common   江湖救急!(请教各位大虾如何学习FPGA和verilog HDL语言,请你们推荐教材啊) zzphj 2004-3-2 0/1311 zzphj 2004-3-2 21:51
common   关于FPGA初学者如何入门? saodong 2008-5-27 4/1311 bysj2008 2008-6-4 22:18
    类型 排序方式 时间范围