首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common   [讨论]如何为verilog代码加密 bjxiong 2007-10-15 4/2263 chenm001 2007-10-18 10:32
common   [求助]QUARTUS2的教程 christsnake 2007-9-13 4/1175 东风 2007-10-19 10:12
common   四大FPGA供应商专家谈FPGA设计诀窍 bjxiong 2007-11-6 4/1318 vincent 2007-11-7 10:43
common   [求助]请大家帮我改改程序 stonylei 2007-11-7 4/789 stonylei 2007-11-9 22:12
common   xilinx工具中文培训资料 da_ocean 2007-7-28 4/1027 caopengly 2007-11-12 18:41
common   请教下载问题 yangfeng_1105 2007-11-9 4/904 yangfeng_1105 2007-11-12 21:51
common   VHDL2SystemC软件 附件 graduate 2007-10-8 4/943 ws877817 2007-12-2 00:19
common   ECL电平到LVDS电平怎么转换呀 zsz810924 2006-3-18 4/1711 flanix 2007-12-19 10:39
common   请问,使用Cyclone组件的LVDS,如何配置一对管脚是LVDS输出输入 popeof 2005-10-21 4/2924 flanix 2007-12-19 10:48
common   美资公司急招FPGA工程 dorisyu 2007-11-9 4/947 flanix 2007-12-19 11:45
common   EP2C20Q480C8求助 lxchina 2007-12-12 4/1108 chonggg77 2007-12-22 01:24
common   大家怎么学习FPGA的啊? melandy 2008-3-4 4/941 用户 2008-3-7 14:26
common   大家在用什么软件进行FPGA的开发呢? keanoi 2008-2-27 4/1234 用户 2008-3-7 14:33
common   在Virtex4里,为什么综合时bufgmux被bufgctrl替代 yzq035 2008-3-13 4/1286 yzq035 2008-3-17 09:19
common   如何在用vhdl语言完成的数字时钟中添加星期和时区功能 raul1984love 2006-3-7 4/1622 woshizl 2008-3-18 21:08
common   CPLD设计数字钟, zzchulufengmang 2006-3-23 4/1110 用户 2008-3-19 10:18
common   请问找FPGA方面的工作应该怎么办呢 jnfnet 2008-3-3 4/761 aqia 2008-3-19 13:30
common   急求VHDL英文书资料(毕业设计用) 枝上的蛤蟆 2008-3-20 4/1333 caopengly 2008-3-23 19:20
common   [应求,下载]QuartusII中Tsu_Tco约束方法 附件 caopengly 2007-12-2 4/1519 kevin3283 2008-3-31 17:37
common   [求助]用FPGA实现USB接口通信 zzw850114 2008-4-1 4/920 flanix 2008-4-7 11:18
common   用quartus7.0下载程序的一个怪现象 xi_yi 2008-4-3 4/1111 caopengly 2008-4-10 12:54
common   谁有modelsim6.2b的破解? huatiantian 2008-4-8 4/893 shiter620 2008-4-24 09:50
common   求救:串并转换 zerone 2006-3-11 4/1355 rainzhuo 2008-5-9 10:40
common   uart 附件 fmsjtu2007 2008-3-31 4/958 20042575 2008-5-24 22:52
common   波特图看不懂求助 cooking12 2008-5-30 4/1080 flanix 2008-6-2 10:16
common   关于FPGA初学者如何入门? saodong 2008-5-27 4/1298 bysj2008 2008-6-4 22:18
common   基于EDA技术的QPSK的实现调制解调程序 jay911 2006-4-26 4/1722 tanglu5003328 2008-6-5 21:59
common   用CPLD和Flash实现FPGA配置 caopengly 2007-5-9 4/1301 aeron 2008-6-6 15:41
common   [下载]如何编写高效的testbench 附件 caopengly 2007-12-2 4/1856 liouge 2008-6-9 11:47
common   1602中文资料 附件 gilly 2008-4-23 4/1220 hfyin 2008-6-19 13:28
common   跑表的VHDL语言描述 附件 yqynier 2008-6-8 4/1776 rever 2008-7-12 11:53
common   [转帖]上拉电阻下拉电阻的总结 linmin1984 2008-5-13 4/2322 jacob.ning 2008-8-22 11:26
common   求FPGA控制SAA7113的verilog代码 xulibin2008 2008-8-25 4/1946 xulibin2008 2008-9-3 23:35
common   rom怎么仿真啊? 附件 flyfpga 2008-9-24 4/2105 ice3fly 2008-9-26 16:34
common   求教:时序约束! wangdimvp 2008-9-17 4/1277 wangdimvp 2008-9-27 10:27
common   基于单片机EDA技术的波形发生器的设计 附件 yyd 2008-4-16 4/1702 Archer_Fight 2008-11-25 11:03
common   怎么用Verilog实现小数和有符号整数乘法 chunsen 2008-12-9 4/3311 yibiyuan 2008-12-10 14:33
common   用CPLD做的密码锁?谁知道?[原创] zhblove 2008-4-27 4/1063 lwb_28888 2008-12-27 21:23
common   请问:如何使用altera cyclone器件上的RAM资源? zms001 2006-8-13 4/3191 lbk991 2008-12-29 09:59
common   求教:cpld时钟接法? 殷盼盼 2008-5-22 4/2035 yumuzi 2009-1-12 15:27
    类型 排序方式 时间范围