首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: rom

  版块 作者 回复/查看 最后发表
ROM、RAM、DRAM、SRAM和FLASH的区别 存储器 电子工匠 2010-12-2 3 / 3183 jtdv3yKylqt 2012-11-6 11:00
XILINX ROM使用中文教程.pdf[下载] ... 1 2 3 4 5 6 .. 15 vincent 2005-11-21 218 / 60862 rlqhua 2012-3-22 12:00
关于只读ROM的IPCORE fredrick 2007-3-24 5 / 2420 zxbyt2006 2011-12-28 09:14
怎么把一个数组放到程序区(rom) zhtoad 2010-2-6 3 / 4347 MCUfans 2010-11-10 11:11
XILINX ROM使用中文教程 pengyoubieku 2006-5-16 5 / 1975 p0746866112 2009-7-23 18:17
程序中建的表格在ROM范围之内 MCU 单片机技术 zhaopengyou 2009-6-25 2 / 1279 zhaopengyou 2009-6-25 10:58
ip core rom snowcat 2008-5-20 2 / 1981 luyuan81 2009-6-1 16:42
[原创]请问Xilinx有没有编译option rom(比如PCI option rom)驱动的工具?谢谢。 azurelaker 2009-1-9 1 / 1429 pengyoubieku 2009-1-14 17:56
请教单口ROM的时序仿真时出现的错误 chunsen 2009-1-12 1 / 1725 pengyoubieku 2009-1-14 17:56
verilog 写程序读rom 数据仿真出现毛刺 FPGA/CPLD可编程逻辑 minyatouli 2009-1-6 2 / 2316 jiajialin 2009-1-13 10:30
edk里写的应用程序下载到rom还是fpga cuiying 2008-9-26 1 / 1687 pengyoubieku 2008-9-28 21:30
rom怎么仿真啊? FPGA/CPLD可编程逻辑 flyfpga 2008-9-24 4 / 2095 ice3fly 2008-9-26 16:34
[转帖]modelsim:将HEX文件直接读入RAM/ROM ... 1 2 FPGA/CPLD可编程逻辑 vincent 2007-11-27 15 / 5068 kaixin_0007 2008-8-23 20:17
有关QE128的ROM设置问题 kkllongjiao 2008-8-18 1 / 1162 strongchen 2008-8-20 11:24
关于verilog创建rom得问题 liuyang42082 2008-7-19 1 / 1561 pengyoubieku 2008-7-20 13:52
怎样用verilog定制rom? 综合技术交流 tonghaoran 2008-7-2 1 / 1881 tonghaoran 2008-7-2 18:43
quartusii rom查找表 sophiajinbao 2008-5-22 1 / 1213 kzw 2008-5-23 09:45
请问怎么在Matlab中对正弦波等间隔采用后的值放在FPGA的ROM区,来显示三角波呢 xianxiao 2008-5-13 1 / 1199 pengyoubieku 2008-5-15 14:11
on_chip_ram/rom qiuranke 2008-4-8 5 / 1620 kzw 2008-4-25 15:26
[转帖]modelsim:将HEX文件直接读入RAM/ROM ... 1 2 vincent 2007-11-27 27 / 4391 shenql2008 2008-4-14 14:34
求助破解MC68332的TPU_ROM huahuan 2008-2-25 1 / 1273 Max 2008-4-7 16:29
CSR推出集成DSP协处理器的ROM解决方案BlueVox DSP juliguo 2008-3-31 0 / 1129 juliguo 2008-3-31 17:01
采用ROM结构的8bit采样 sine波形发生器 FPGA/CPLD可编程逻辑 shewdooo 2008-3-17 0 / 893 shewdooo 2008-3-17 11:31
采用ROM结构的8bit采样 sine波形发生器 FPGA/CPLD可编程逻辑 shewdooo 2008-3-17 0 / 848 shewdooo 2008-3-17 11:30
请问MC68332ACFC16的TPU_ROM是掩膜ROM吗?还是EPROM的?/ huahuan 2008-2-26 0 / 974 huahuan 2008-2-26 14:13
请问MC68332ACFC16的TPU_ROM是掩膜ROM吗?还是EPROM的?/ huahuan 2008-2-26 0 / 1001 huahuan 2008-2-26 14:13
【请高手指点】复制rom的.v文件出现问题! FPGA/CPLD可编程逻辑 duoduo 2008-2-25 1 / 922 caopengly 2008-2-25 19:44
关于xilinx rom方面的 linglinwu 2007-12-10 1 / 999 pengyoubieku 2007-12-10 20:56
一个设计中生成两个ROM表同时用 FPGA/CPLD可编程逻辑 wangshaolei 2007-8-29 0 / 939 wangshaolei 2007-8-29 13:12
[求助]代码大于16K,用到了ROM_4000的问题!! yjbmtc 2007-8-13 1 / 1080 strongchen 2007-8-13 17:33
生成ROM问题 kg_2001 2007-7-10 2 / 937 pengyoubieku 2007-7-12 21:50
关于ROM和RAM扩展 yumiiing 2007-6-7 0 / 1699 yumiiing 2007-6-7 10:23
C6202 ROM引导装载方式的研究 DSP技术 韶华潇潇 2007-5-31 0 / 819 韶华潇潇 2007-5-31 12:33
C6202 ROM引导装载方式的研究 DSP技术 韶华潇潇 2007-4-17 1 / 905 韶华潇潇 2007-4-17 12:22
C6202 ROM引导装载方式的研究 DSP技术 韶华潇潇 2007-4-13 0 / 879 韶华潇潇 2007-4-13 14:50
求教初始化ROM的.coe文件制作的问题 zuotongbin 2007-3-21 1 / 3278 freehardman 2007-3-22 17:50
请教 AT(___DATA_ROM) hitmissile 2007-3-13 4 / 927 strongchen 2007-3-14 09:15
CW3.1连接时出现L1102:out of allocation space in segment ROM_C000 at address caoyibb 2006-12-5 9 / 4170 caoyibb 2006-12-6 00:09
Codewarrior中如何将函数指针数组放入ROM里? Huangh22135 2006-10-19 1 / 1602 reallin 2006-10-20 08:25
Codewarrior中如何将函数指针数组放入ROM里? Huangh22135 2006-10-17 0 / 1219 Huangh22135 2006-10-17 11:04