首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common Icon67 关于FPGA硬件系统设计的总结 flying1983 2016-8-29 6/935 flying1983 2016-9-8 22:50
common   怎么把VHDL程序下载到片上 shirleydp 2003-7-11 5/2383 shirleydp 2003-7-11 18:32
common   那位大虾能提供maxplus 10.2的license(能编译verilog) 谢谢 谢文志 2003-8-22 5/4819 qin 2003-8-22 18:49
common   矩形波的问题 samire 2003-8-27 5/1609 samire 2003-8-27 12:14
common   大家好:哪儿能买到cpld试验板??? wpx07@etang.com 2003-8-27 5/1665 wpx07@etang.com 2003-8-27 23:42
common   求救,一个应该是比较简单的问题!可是我不会! zxh790822@163. 2003-8-29 5/1418 zxh790822@163.c 2003-8-29 20:09
common   EPM7128可用150M晶振吗? fuchangkun 2003-11-3 5/1646 ecnanjing 2003-11-3 08:25
common   [公告]本论坛招聘斑竹,长期有效! silverwolf7516 2004-1-8 5/1172 sccgjchn@hotmai 2004-1-8 14:22
common   有没有关于VHDL的培训 hy2018@126.com 2004-1-17 5/1396 boyfly 2004-1-17 15:41
common   求助各位大侠一个vhdl的具体问题。 ljp 2004-5-25 5/1670 boyfly 2004-5-25 16:44
common   [求助]各位高人请教一下component的具体用法,很多书上介绍的都不详细。 bright_fw 2004-5-27 5/1387 hxxfff 2004-5-27 16:38
common   求教:怎么用VHDL语言来编一个16位的串入并出移位寄存器!急急急! internethero 2004-6-8 5/3840 zhangdage 2004-6-8 14:16
common   FPGA有前途还是CPLD有前途 larry60310 2004-6-8 5/1812 zhangdage 2004-6-8 14:20
common   请问EPC1441是怎样烧录的啊? wgzboy 2004-6-25 5/2342 wangz-1@sohu.co 2004-6-25 20:49
common   帮我看一下这个小程序 新820665 2004-11-7 5/1071 chejihai 2004-11-7 18:06
common   新手求助:各位大侠帮我看看程序 wuxianglin 2005-2-22 5/1161 wuxianglin 2005-2-22 10:24
common   请问行为级仿真正确,但是实现布线后仿真出错,会是什么原因? stiffzhang 2005-3-21 5/1452 xeron1234 2005-3-21 21:36
common   请问EP1C6的1.5V电源用那个芯片比较好 Justingu 2005-1-23 5/1716 bobo_jane 2005-3-31 15:44
common   我有VHDL问题请教大家???? stiffzhang 2005-2-18 5/991 snaper007 2005-4-16 22:41
common   求教!帮我看看这个是什么意思??????谢谢 新820665 2005-2-3 5/1171 tdxuechao 2005-5-20 17:29
common   求助!!我用EPM7128SLC84-15做计数器,该注意那些问题?谢谢~~~~! caiyuya 2005-3-22 5/1446 luohao 2005-6-3 16:23
common   [求助]有偿求一名对编码译码熟悉的VHDL高手 huangke 2005-5-26 5/1169 icesword 2005-6-17 20:11
common   [原创]FPGA/CPLD系列实验教程:实验一点亮LED zhiyuh 2005-6-28 5/1599 zhiyuh 2005-6-29 10:31
common   cyclone c6跟5v芯片连接,不能读写数据,请救急!如下: paulo 2005-6-21 5/1240 leonqin 2005-7-15 18:09
common   关于一个乘法器的设置问题 wz1981 2005-11-15 5/943 wz1981 2005-11-15 19:13
common   请高手帮忙,我着急用! wwchgjxy 2005-10-14 5/1020 xlnxfpga 2005-12-1 22:37
common   [讨论]关于FPGA设计中分频的问题~!~~ xucongqi 2004-5-24 5/1276 zgdgoldon 2005-12-2 09:36
common   我正在翻译NIOS II参考手册,不知道有没有必要? xiaodu100 2005-12-16 5/1077 wxd838820 2005-12-19 11:23
common   清华微电子所VLSI讲义,推荐 JOHN 2005-12-14 5/1536 wangyaobsz 2005-12-21 12:48
common   asic design guide! looksky 2005-12-28 5/2520 sndong2073 2005-12-29 16:33
common   [下载]哈工大-硬件描述语言VHDL及其应用!推荐 vincent 2005-12-1 5/1525 sun_cn 2006-1-7 14:01
common   [推荐]请各位大虾推荐一款FPGA学习板 燕赵豪侠 2006-1-17 5/1154 燕赵豪侠 2006-1-21 10:37
common   自适应算术编码的FPGA实现 32kmcu 2006-2-16 5/1106 32kmcu 2006-2-16 22:47
common   [求助]恳请熟悉fpga和单片机的朋友帮忙,谢谢 珊瑚虫 2006-2-15 5/1267 珊瑚虫 2006-2-21 18:39
common   [转贴]EDA常用软件下载地址,有你需要的没? 燕赵豪侠 2006-2-14 5/2434 zyq_73636 2006-2-26 10:45
common   [求助]各位大哥,双端口的testbench怎么写呢? OneOne 2006-2-27 5/1440 OneOne 2006-3-1 19:06
common   [求助]关于CPLD的高精度计时器 demonicsoul 2006-3-3 5/1404 blueseahaichao 2006-3-14 04:23
common   是vhdl还是verilog hdl??迷惑!! wwwwbbbb 2006-2-23 5/2334 燕赵豪侠 2006-3-25 11:57
common   [求助]在ISE中如何将FPGA的特定信号(内部signal)综合为不使用全局时钟资源? joy2008 2006-3-25 5/2085 stone133 2006-3-25 20:56
common   状态机实现的键扫,有问题,恳请大侠帮忙 珊瑚虫 2006-3-24 5/1009 珊瑚虫 2006-4-1 08:00
    类型 排序方式 时间范围