首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: VERILOG

  版块 作者 回复/查看 最后发表
有谁用verilog HDL做过数字闹钟,可不可以指点一下,谢谢了! DSP技术 higildedzest 2008-5-12 0 / 1244 higildedzest 2008-5-12 21:40
我是新手加菜鸟,我想学verilog hqxiao20041665 2008-5-11 1 / 969 caopengly 2008-5-12 14:14
求串并转换verilog源码 FPGA/CPLD可编程逻辑 wangjia717 2007-8-1 2 / 2174 rainzhuo 2008-5-9 10:44
Verilog入门 FPGA/CPLD可编程逻辑 sqb1986 2008-5-7 0 / 708 sqb1986 2008-5-7 13:52
verilog中如何实现重复? FPGA/CPLD可编程逻辑 xulibin2008 2008-5-3 1 / 1150 caopengly 2008-5-4 12:53
请教,怎么用Verilog判断时钟上升延? FPGA/CPLD可编程逻辑 chinapte 2008-4-27 5 / 1577 ecreate 2008-5-4 10:39
Verilog_golden中文版 jianglai98 2008-4-14 2 / 1109 zzzccczzz 2008-4-17 14:08
请高手推荐初学verilog HDL的书籍。 FPGA/CPLD可编程逻辑 roundsea 2008-4-11 2 / 1442 roundsea 2008-4-14 14:45
[求助]有verilog的排序的例子吗? FPGA/CPLD可编程逻辑 lgb571 2008-4-11 1 / 2241 caopengly 2008-4-11 19:57
verilog zhangyunkai 2008-4-8 1 / 816 pengyoubieku 2008-4-9 23:06
Verilog黄金指南中文版 ... 1 2 hewei_84 2007-7-23 19 / 3320 zhwegu 2008-4-9 11:22
vhdl&verilog 问题 FPGA/CPLD可编程逻辑 zhuzhuqing 2008-4-7 2 / 940 flanix 2008-4-9 10:04
verilog程序 hufeiiger 2008-4-8 2 / 891 kzw 2008-4-8 19:32
verilog程序 FPGA/CPLD可编程逻辑 hufeiiger 2008-4-8 1 / 744 hufeiiger 2008-4-8 11:41
初学请教:点亮二极管的小程序,verilog或vhdl都可 FPGA/CPLD可编程逻辑 roundsea 2008-4-3 5 / 1321 stone133 2008-4-7 13:11
VERILOG 程序问题 FPGA/CPLD可编程逻辑 tiebing_007 2008-3-28 1 / 755 stone133 2008-3-29 21:12
寻求system verilog的资料哈! FPGA/CPLD可编程逻辑 binzi_1978 2008-3-29 1 / 935 caopengly 2008-3-29 16:21
Verilog HDL设计方法概述 FPGA/CPLD可编程逻辑 hpyfei 2008-2-14 12 / 1914 sunchao_hit 2008-3-29 10:17
求助:一个verilog的程序 boyhp24 2006-3-12 7 / 1492 uestczhb 2008-3-26 16:34
有谁用verilog写过T测速法的程序吗? sunjie19840522 2008-3-15 2 / 1109 hxxfff 2008-3-25 23:54
[求助][讨论]有用verilog HDL设计数字钟的没啊? FPGA/CPLD可编程逻辑 daaxue 2008-3-21 0 / 996 daaxue 2008-3-21 14:02
[求助]急需8b10b编解码的verilog程序,谁能发我一份谢谢 FPGA/CPLD可编程逻辑 superwan 2008-3-20 0 / 1489 superwan 2008-3-20 10:55
怎么用system verilog啊 FPGA/CPLD可编程逻辑 buesailor 2008-3-17 1 / 805 caopengly 2008-3-17 19:35
[求助]学习Verilog如何能快速上手? FPGA/CPLD可编程逻辑 liyanxia 2008-3-10 1 / 953 hqq 2008-3-13 20:46
基于Verilog HDL设计的自动数据采集系统 pengyoubieku 2008-3-8 7 / 1143 ganshengliang_1 2008-3-11 09:49
[求助]如何用Verilog语言进行图像处理? FPGA/CPLD可编程逻辑 latter2008 2008-3-9 1 / 2046 caopengly 2008-3-10 14:01
谁有OFDM接收机的verilog源代码(希望共享参考下) 无线技术 destiny3 2008-1-11 4 / 2105 ranhuanhuan 2008-3-8 21:55
[求助]如何用Verilog语言实现视频中运动物体跟踪算法? FPGA/CPLD可编程逻辑 latter2008 2008-3-6 2 / 973 stone133 2008-3-6 17:19
用Verilog HDL实现I2C总线功能 FPGA/CPLD可编程逻辑 caopengly 2008-3-3 1 / 1130 caopengly 2008-3-3 20:23
请教曼彻斯特编码verilog指导 FPGA/CPLD可编程逻辑 tigerwang 2008-3-3 1 / 1143 stone133 2008-3-3 15:52
[求助]有有关system verilog中文教材的吗 FPGA/CPLD可编程逻辑 skyking1 2008-3-2 0 / 852 skyking1 2008-3-2 16:33
请问verilog HDL和VHDL哪个更高级些? FPGA/CPLD可编程逻辑 flytosky 2008-2-26 2 / 1179 caopengly 2008-2-29 21:34
为什么在原理图编译中不能调用用verilog编写的库文件 FPGA/CPLD可编程逻辑 win_wang 2008-2-1 1 / 1274 caopengly 2008-2-1 22:21
[求助]关于24C256的Verilog FPGA/CPLD可编程逻辑 xzkb 2008-1-31 1 / 841 a64thlon 2008-2-1 17:38
Verilog代码规范 rayryan1983 2008-2-1 0 / 1118 rayryan1983 2008-2-1 03:16
VERILOG的语法总结 FPGA/CPLD可编程逻辑 林平平 2008-1-15 1 / 942 caopengly 2008-1-16 19:26
谁有OFDM接收机的verilog源代码(希望共享参考下) 3G destiny3 2008-1-11 1 / 1997 destiny3 2008-1-16 17:17
[求助]Verilog语法知识 FPGA/CPLD可编程逻辑 aiany1019 2008-1-8 1 / 907 caopengly 2008-1-8 19:07
谁有fifo可综合的verilog源码? FPGA/CPLD可编程逻辑 yudaxia 2007-12-29 1 / 1933 caopengly 2007-12-30 12:06
advanced verilog FPGA/CPLD可编程逻辑 spssah 2007-12-27 1 / 1043 caopengly 2007-12-28 16:26