首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: verilog

  版块 作者 回复/查看 最后发表
紧急求助高手:串行接口SCI的verilog实现 FPGA/CPLD可编程逻辑 ggcai0817 2007-5-20 0 / 778 ggcai0817 2007-5-20 10:00
紧急求助高手:串行接口SCI的verilog实现 综合技术交流 ggcai0817 2007-5-20 0 / 1500 ggcai0817 2007-5-20 09:58
紧急求助高手,有谁会串行接口SCI的verilog实现 MCU 单片机技术 ggcai0817 2007-5-20 0 / 1109 ggcai0817 2007-5-20 09:57
[求助]请教达人verilog编写sram时如何将avalon时序转换成sram的时序 zengyqsky 2007-5-11 1 / 1555 kzw 2007-5-12 09:42
如何根据verilog文件转换成逻辑图? phoeix 2007-4-20 4 / 1258 phoeix 2007-5-2 21:34
Verilog的高手请进 FPGA/CPLD可编程逻辑 gengshg 2007-5-2 1 / 1104 baiyingli17 2007-5-2 18:02
北航夏宇闻verilog讲稿 FPGA/CPLD可编程逻辑 32kmcu 2006-2-14 14 / 2099 beiji1984 2007-4-27 23:33
好想找一个学习verilog的老师或者盟友啊![求助] FPGA/CPLD可编程逻辑 lylyly 2006-8-24 12 / 1707 blueprince 2007-4-22 11:46
哪位有基于FPGA的I2C总线接口设计的Verilog代码啊,急死我了,大家帮帮忙啊 FPGA/CPLD可编程逻辑 zhujie2018 2007-4-12 0 / 1174 zhujie2018 2007-4-12 08:59
VERILOG快速入门 FPGA/CPLD可编程逻辑 vincent 2005-11-22 5 / 1263 csm_current 2007-4-8 15:29
[求助]verilog毕业设计的课题,FPGA实现JPEG压缩中的量化及熵编码 很需要帮助 FPGA/CPLD可编程逻辑 闲逛 2007-3-17 1 / 1626 simon707 2007-4-3 19:00
verilog book FPGA/CPLD可编程逻辑 good200xy 2007-3-1 0 / 864 good200xy 2007-3-1 11:18
vhdl和verilog能不能共存在sopc生成的一个系统中? my_zjf_ 2007-1-15 2 / 1080 caopengly 2007-2-28 22:55
请教关于verilog综合后仿真 FPGA/CPLD可编程逻辑 听松2006 2007-2-8 0 / 1063 听松2006 2007-2-8 15:05
求助一段verilog程序的功能与时序仿真 FPGA/CPLD可编程逻辑 听松2006 2007-1-29 3 / 1311 yshwy2008 2007-2-6 12:45
初学者!!!verilog 语言基础知识 FPGA/CPLD可编程逻辑 vincent 2006-7-25 13 / 1742 tarzenliu 2007-1-7 18:15
新手求助一个Verilog问题! FPGA/CPLD可编程逻辑 smellybb 2006-12-28 0 / 811 smellybb 2006-12-28 15:30
[求助]FPGA开发主要用VHDL还是Verilog HDL呢? FPGA/CPLD可编程逻辑 walkman416 2006-12-6 3 / 1223 csz 2006-12-27 10:39
使用Verilog实现基于FPGA的SDRAM控制器 pengyoubieku 2006-12-26 5 / 975 pengyoubieku 2006-12-26 09:17
请教quartus2 verilog编译问题 FPGA/CPLD可编程逻辑 coxfpga 2006-11-29 11 / 4599 bemoon 2006-12-18 22:51
新学verilog FPGA/CPLD可编程逻辑 df110 2006-12-6 1 / 799 zcllom 2006-12-7 13:03
[求助]Quartus_II_6.0中verilog hdl仿真问题求助! gainward 2006-11-20 1 / 1175 kzw 2006-11-23 11:12
[下载]Comparison vhdl - verilog - systemC FPGA/CPLD可编程逻辑 dancy 2005-12-7 2 / 1126 adammc 2006-11-17 09:47
初学Verilog FPGA/CPLD可编程逻辑 天门之上努力 2006-11-7 0 / 788 天门之上努力 2006-11-7 14:56
请帮忙解释verilog 语言 FPGA/CPLD可编程逻辑 andywen0 2006-10-24 1 / 948 stone133 2006-10-24 13:17
[求助]verilog中,变量前面加一个&符号是什么意思 FPGA/CPLD可编程逻辑 xie1 2006-9-25 6 / 3392 stone133 2006-9-27 19:51
请问高手???这样的在Verilog中是合法的标识符吗?? FPGA/CPLD可编程逻辑 georgeyu 2006-9-14 2 / 1827 xie1 2006-9-27 13:59
指教一下verilog的任务用法 tomqi19810 2006-9-11 3 / 1624 davidloved 2006-9-26 22:56
请问在DSP Builder里,如何生成Verilog文件? EVOC 2006-9-25 1 / 2073 kzw 2006-9-25 16:03
熟悉verilog任务用法的指教一下 tomqi19810 2006-9-8 8 / 2285 pengyoubieku 2006-9-17 20:37
[转帖]DDS的VERILOG原代码(经验证) vincent 2006-9-8 1 / 1480 vincent 2006-9-8 09:22
小弟求助verilog问题 FPGA/CPLD可编程逻辑 perryxing 2006-8-18 3 / 885 stone133 2006-9-2 10:36
[求助]请帮我看看verilog的程序,万分感谢! FPGA/CPLD可编程逻辑 lylyly 2006-8-24 14 / 1926 stone133 2006-9-1 21:24
verilog 语法问题请教! FPGA/CPLD可编程逻辑 hankson 2006-8-15 3 / 885 abelstone 2006-8-22 13:42
verilog中双向端口inout的使用的总结[转帖] FPGA/CPLD可编程逻辑 vincent 2006-8-16 3 / 1790 anotherchen 2006-8-21 16:46
[求助]用什么软件可以把VERILOG的原代码转换为VHDL?? FPGA/CPLD可编程逻辑 lixunhuan2002 2006-6-1 12 / 2990 luohongken 2006-8-1 12:22
怎样用Verilog产生任意波形,如pwm信号?? FPGA/CPLD可编程逻辑 andywen0 2006-7-25 6 / 2316 waterlily 2006-7-31 13:22
[求助]Verilog 异步复位怎么表示? FPGA/CPLD可编程逻辑 asand 2006-7-10 4 / 1909 stone133 2006-7-11 09:35
请问谁有V4的并串模块和串并模块的Verilog应用例子? andy_zheng 2006-7-3 3 / 1104 pengyoubieku 2006-7-7 15:45
[求助]verilog hdl代码问题 FPGA/CPLD可编程逻辑 george_ljl 2006-6-22 3 / 1088 stone133 2006-7-4 14:48